Tumgik
#taichi support event
izzyizumi · 2 years
Photo
Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media
Digimon Adventure x Digimon Adventure tri. ~ Japanese Version + tri. Parts #2 [“Ketsui”] x #5 [“Kyousei”] + Adventure Ep. 25 + during Vamdemon Arc Featuring Characters/Duo/{Rare?}/Side-ship: Jou Kido [Jou (“Joe”) Kido in US] x Taichi Yagami [Taichi (“Tai”) Kamiya in US] ~ Character Development{s} ~ {+Important} Dialogues ~ {+Framing}/{Animation}/{Storyline} Parallels + Supporting each other
“Taichi,...” “What?” “I’ll let you handle this.” “W-What’s this all of a sudden?...”
“I’m not saying I don’t want the responsibility {of being the ‘Leader’}, ... ... it’s because I believe in you.”
“You sound like the old Jou-san now.” - Takeru “I believe I grew up somewhat.” (cue Taichi grinning, then gentle teasing with the rest) + a clearly fond look on face (after Jou supported Taichi in earlier scenes)
Gifs by @izzyizumi​ {Do Not Repost} (Please Ask to Use) {Do Not Re-produce without my Permission under any circumstances!}
For image usage rules, please see under the ‘read more’!
{DO NOT REPOST} {DO NOT REMOVE CAPTION} {DO NOT USE MY POSTS FOR ARGUING OR DISCOURSE} {DO NOT COMMENT / TAG WITH SERIES / CANON HATE} {OTHERWISE YOU WILL BE BLOCKED} {THIS ALSO APPLIES TO TAGGING/TAGS ON THIS POST.}
{usage of gifs may be allowed if permission is asked / or if credit is given. However, read my about & FAQ pages first. Please do NOT use / ask if you match anything in my “Do Not Interact” sections.}
Tumblr media
{Additional note: this post is mainly intended AS PLATONIC/Friendship with side shipping implied, [as in, ‘I can ship it’] but can also be read as canon compliant analysis. However please remember + RESPECT this when interacting please DO NOT treat this edit as only purely platonic, or as only “brotp” or the like only*, thank you!} (*It is ok if you tag as “friendship” or the like along WITH the ship!!) {Failure to acknowledge interacting rules WILL result in a block}
[Note: commenting/tagging positively/respectfully is ok!] (Please AGREE TO BANNERS BEFORE interacting) (Note: click on the banners to enlarge!)
[Rules for edits usage under the ‘read more’!]
Tumblr media
REGARDING EDITS/GIFS USAGE:
- they must NOT be used to spread negativity for the canon/fandom! {INCLUDES: in regards to Adventures AS A WHOLE, Adventure (Original series), 02, Tri, Kizuna, Adventure & 02 movies, Drama CDs, any spinoff materials} - they must NOT be used to promote shipwarring within the fandom - they must NOT be used to attack any Adventures character focused on - IF I SEE YOU DOING THIS I WILL LIKELY BLOCK WITHOUT MERCY {usage may be allowed IF PERMISSION IS ASKED TO USE.}
{Please also LIKE this post if you are wanting to use!} {Once you have “Liked”, feel free to contact me to ask for permission!} {However, read my about & FAQ pages first. Please DO NOT use / ask if you match anything in my “Do Not Interact” section.} {I MAY POLITELY DECLINE USAGE REGARDLESS. Please do not take it personally!} {if you are unsure if you can use you can SEND ME AN ASK} {I will check your blog; if you do not match anything on my “DNI”; [CHECK THE FAQ FOR MY DNI SECTION/S] you will likely/may pass the check and can use!} {However please note my ENTIRE FAQ sections on such} {It is possible I may not grant permission for other reasons} [i.e.: You post a lot of something I have blacklisted]
PLEASE ALSO NOTE: {My headcanons for the characters of this series VARY} {for this set particularly; I headcanon most as M-spec/Multi-gender attracted*} {this post/my edits ARE NOT for people who cannot respect this fact or M-spec people / M-spec headcanons / MF relationships, Queer identities, etc. in general!}
[PLEASE BE RESPECTFUL AND FOLLOW MY RULES] {OR DO NOT INTERACT WITH THIS POST/MY CONTENTS}
22 notes · View notes
idol-murder-mystery · 25 days
Text
Idols x Murder x Romance?
Tumblr media
So I'm making this game called Whispers of the Luminaries. It's an idol-themed murder mystery visual novel that blends investigative gameplay (inspired by Danganronpa) with social sim gameplay.
Play as a detective
Follow 4 idol trainees trying to make their dreams come true
Make friends or choose to work on the case in your free time
Piece together clues to unravel a dark, yet heartfelt story 
Check out the characters!
Detective Taichi Fukuda
Tumblr media
Detective Eiji Hoshiko
Tumblr media
Meet the idols!
May Ayano (Keytarist)
Tumblr media
Miyuki Fujikawa (Vocalist)
Tumblr media
Sayuri Kobayashi (Percussionist)
Tumblr media
Akane Asagiri (Bassist)
Tumblr media
Idol Instructor Tanaka
Tumblr media
Bartender Yuki Hirota
Tumblr media
Miyako Koike
Tumblr media
Gameplay
I wanted to make a detective game where you can choose to hang out at different places, meet new characters and make new friends ^^ (Kinda like Persona, Coffee Talk, and VA-11 Hall-A)!
Free Time Events
There'll be 'free time events' and a 'world map system' where you choose where you want to hang out:
Tumblr media
Trust Meter
During free time events, you get to meet different characters and deepen your relationship with them - learning more about their backstory.
There'll also be a 'trust meter' for each character.
Tumblr media
Unravel the Mystery
Instead of visiting places like the bar, park or gym, you can also choose to visit your detective's office. Here, you'll be able to unravel the mystery by choosing which leads you want to investigate further:
Tumblr media
Investigating leads is crucial to solving the case, but spending too much time here can lower your sanity, so be careful.
Once enough evidence is collected, there'll also be a deduction phase similar to Danganronpa/Ace Attorney, where you must select evidence to support or contradict a statement!
Join Our Debut ✓
Tumblr media
We're launching a Kickstarter this month, aiming to bring more art, music and content! Look forward to new poses, costumes, character designs, and adorable CGs 😇
Follow our Kickstarter pre-launch, and be the first to grab Kickstarter-exclusive rewards like:
cute idol merch
early access to the game
special scenes featuring your favorite idol
design a costume for any character of your choice
early bird discounts!
Thank you so much!!
I want this to be the best mystery game you've ever played! ❤️
Whispers of the Luminaries: An Idol-themed Murder Mystery 🔔 Follow Our Prelaunch | ✨Try the demo
71 notes · View notes
seventeenlovesthree · 2 months
Text
Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media
Character/Headcanon analysis: Koushirou Izumi and his parental tendencies
I like to call Koushirou "parental" when it comes to his 02 self - and I'm aware that may also be a very self-indulgent headcanon of him taking after Kae, even though she is more into soft parenting, whereas he himself is pretty occupied to make sure that his children are not blowing themselves up...
But of course you can also just call that him being a naturally supportive and caring person - always has been, ever since Adventure, even if certain events had to trigger him to not only be theoretically but physically helpful (such as whenever it comes to saving Mimi, Sora and Hikari - or telling Taichi to take it easy for once). The same attitude can also be found in Tentomon - though in his case, it's being protective on one hand and quite literally parental on the other (particularly in Tri).
Tumblr media
Koushirou being thoroughly protective is the reason why like to parallel him to Sora (and Hikari and basically Taichi too and thus Team Light validates me again), because them having self-sacrificial tendencies towards the others has ALWAYS been a thing - to various degrees. Again, Koushirou was ALWAYS taking on responsibility to make sure that others are safe (which is literally 90% of how his interactions with Hikari go, heck, Atlurkabuterimon trying to protect Angewomon is the biggest metaphor for that!). Unfortunately, my art did not become canon, but yes, I still maintain he was cut out of The Beginning (even as support) to give the spotlight to others for once. They still couldn't fully ignore him, hence why the "If Koushirou-san can't figure this out, how are we supposed to?" quote exists - the 02 kids have always relied on him and looked up to him, so it makes perfect sense. And Takeru acknowledged his support in his fanfiction as well! But they naturally didn't want him to get the spotlight, because it was about the 02 kids. 100% valid.
But then you also have the show vs. tell problem. Mimi says Koushirou is ignorant - and a lot of people take that at 100% face value. Taichi even defends him since he is pretty much aware of his quirks - and the scene above pretty much implies that Mimi herself knows how Koushirou is (= getting into hyperfocus mode and becoming ignorant towards everything he doesn't deem important in the process, hence being ignorant in urgent situations, but NOT in general). Stageplay!Kou is in a league of his own anyway, because he was allowed to voice his frustrations with "not being allowed to help by Taichi" again - and because he made everyone question how they really felt (like a good parent would always encourage their children). There are tons of examples, but to sum it all up: He is a connector, he is basically second in command, he is protective. His way of phrasing that may be awkward and not always understandable by others (hence why Yamato needs to explain it to Kae and Taichi to Meiko, IT'S A PATTERN), but...
Tumblr media Tumblr media
Again, I just feel like 02 made him incredibly paternal in how he watches over the 02 kids, every time he talks to Iori, Miyako or Ken, he's kind and reassuring - yes, he does scold them and his potential suitors, ahem for being reckless, but also knows when to give praises.
(It also makes sense that he also mainly gets angry whenever he is being left out of things - especially if he knows he could have done something to support.)
We also all know that he has a very loving mother himself and, as mentioned, even if their parenting style might be rather different, he knows what is important, so... How can I not think it's because their relationship got better and he takes after her? Yes, Tri onwards didn't maintain the mom/dadness in him the same way, but he still feels responsible for everybody's safety, he gives advice and guidance all the time and that doesn't change.
25 notes · View notes
ahiddenpath · 2 months
Text
Hidden's Digimon Fanfics
Happy Odaiba Day! I'm so happy to celebrate with Chosen around the world!
I've listed my Digimon fanfics beneath the cut!  If you’re looking for some digifics to read on this beautiful Odaiba Day, oh boy, have I got you! :D  I’m ahiddenpath on both AO3 and FFN. Please log into AO3 to see all of my works, and please note that some of my older works are only on FFN.
Canon Setting Stories
After August
Tumblr media
Status: complete, Ships: none/general, Rating: general
Summary:  “A few days ago, they were the Chosen. Now they were just a bunch of bereft, displaced kids.” When the Chosen return to earth, they have difficulty resuming their old lives. The story dips into everyone’s perspectives as they struggle to overcome the trauma they faced in the Digital World and their longing for their digimon so they can rally around Taichi once more.
Infinite Possibilities
Tumblr media
Status: in progress, Ships: none/general, Rating: teen
Art commissioned from kbondoxxxxav.
Summary: As more people meet their digimon partners, the challenges of digimon and humans coexisting on earth cannot be ignored. College student Anami Eimi begins her career in digimon research, hoping to help digimon and humans understand one another. When she discovers caged digimon in her new lab, she contacts the Japanese Chosen, setting off a chain of events that force the Chosen and their digimon to reevaluate the dynamics of their partnership.
This fic is set pre and post Kizuna, and is a mostly canon compliant expansion. It's a three phase story, the first starring OC Anami Eimi, then Izumi Koushiro, then Yagami Hikari. It explores the realities, challenges, and politics of digimon living on earth, and the nature of the Chosen/digimon partnership.
Can the Chosen and their digimon find the infinite possibilities that will sustain their partnerships into adulthood?
Ladybug House
Tumblr media
Status: complete, Ships: general/Taishiro-ish, Rating: general
Summary:  After his seniors graduated and Mimi moved away, Koushiro is the last of the older Chosen attending his high school. Although Koushiro doesn’t let it show, Tentomon senses that he’s lonely and joins him on his commute to school. When the harsh cold of winter makes Tentomon shut down, Koushiro asks him to stay home instead of braving the elements. Tentomon refuses, citing Koushiro’s loneliness. This pushes Koushiro to reconnect with his friends, and he starts with the one he misses most…Focuses on the relationships between Koushiro and Tentomon and Koushiro and Taichi. Taishiro written in such a way that it can be interpreted as platonic or romantic. Explores what it means to be an adult and forge an adult life. Post Tri, pre Kizuna, canon setting. Complete oneshot.Minor characters: Kae Izumi, Daisuke, Miyako, Takeru, Hikari
Masks
Tumblr media
Status: Complete,  Ships:  None/general, Rating: general
Summary:  Following the events of Kizuna, the Chosen slowly lose their digimon partners, all while struggling to launch their adult lives. Takeru has been smiling through it all, but Yamato is beginning to sense that he needs more help than he lets on… A story exploring grief, seeking, giving, and accepting emotional support, and navigating the line between expressing what you’re comfortable expressing and knowing when to seek help. Complete oneshot.
Sans San
Tumblr media
Status: Complete,  Ships:  None/general, Rating: general
Summary: It’s New Year’s Day, and Koushiro and his parents are observing Hatsumode, the first shrine visit of the year. There, he runs into Taichi, who helps him achieve last year’s resolution. A short story about support and being loved as you are while still committing yourself to growth <3 Cover art gifted by a dear friend.
Mostly Canon Setting Stories (ie, canon setting with some differences, like OCs, non-canon couples, etc)
Growing Up with You
Tumblr media
Art commissioned from Mitty (Tumblr deleted).
Status: complete, Ships: Koushiro x OC in the later half, Rating: general to teen
Summary:  A slow, simple story about relationships over time. The story focuses on Koushiro and OC Anami Eimi, following them through their childhood through the events of 01, 02, some of the movies, and beyond. This story is great for you if you love Koushiro and stories that are mostly canon.
Tri: Integrity Lens
Tumblr media
Status: In progress, Ships: Koushiro x OC, Rating: teen
Summary:  Sequel to Growing Up with You. Follows Digimon Adventure Tri primarily through the eyes of OC Anami Eimi and Izumi Koushiro. This story is fantastic for you if you love Koushiro, or if you’d enjoy a retelling of Tri that emphasizes Chosen proactiveness.
A Gentleman’s Wager
Status: Complete, Ships: Koushiro x OC, Daiken, Taichi x OC Rating: teen
Summary:   When Taichi notices Koushiro’s stubble, things somehow snowball into a facial hair growing contest between most of the male Chosen Children. Humor/romance/drama. One shot.
Public Eye
Tumblr media
Status: Complete, Ships: Daiken, Rating: teen
Summary:  Despite their best efforts, the Chosen couldn’t escape fame following their digimon adventures. When Daisuke and Ken’s relationship is exposed in a local tabloid, the backlash threatens their tenuous romance.
Exploration
Tumblr media
Status: In progress, Ships: OC x Daisuke, Daiken, OC x Koushiro
Summary: An AU story where Motomiya Daisuke, Anami Eimi, Ichijouji Ken, and Izumi Koushiro are undergraduate students in 2024. This four chapter explicit story follows their exploration of sexuality and relationships in a consensual, queer-friendly way.
See You Soon
Tumblr media
Status: Complete, Ships: Jyoumi, Rating: general
Summary:  Two independent Jyoumi short stories themed on long distance relationships.
AU Fics
So You Were Alive
Tumblr media
Status: Complete, Ships: none/general, Rating: general
Summary:  Greymon meets Garurumon in the network and is glad to see that his friend is alive. Explores the possible (if unlikely) connection between the Agumon in the Digimon Adventure Reboot (Digimon Adventure:/Digimon Psi) and the Digimon Adventure Agumon. Oneshot. Contains spoilers for the Kizuna novelization and the first two episodes of Digimon Adventure:/Digimon Adventure Reboot/Digimon Psi.
Puits d’Amour
Tumblr media
Art gifted by a dear friend.​
Status: In progress, Ships: Sorato (main), Jyoumi, others to come, Rating: general audiences
Summary:  Yamato and his brother, Takeru, were born to royal parents from different countries, who wed to seal the peace between their warring nations. The brothers were sent to live in Autun, their mother’s country, until coming of age- and now, Yamato is old enough to return to Hakone, his father’s country, where he will one day rule. Displaced and missing his home and his brother, Yamato wanders into a café selling pastries he often ate in Autun… And meets Sora, a woman who exudes welcome and comfort. A bakery/royalty AU about finding your home and your path.Main characters: Yamato, Sora, Jyou, Takeru, Mimi, but the entire Adventure/02 cast is included
Four Years
Tumblr media
art by beeps (Tumblr deleted)
Status: In progress, Ships: Izzy x OC, Sorato, Jyoumi, others to come, Rating: mature
Summary:  An AU story where the older Adventure kids go to an American college. English dub names and personalities. Izzy/OC, Sorato, Jyoumi, Tai/?, some Takari. A story full of romance, laughs, drama, and fun things like partying and classes. The kids still have a lot of growing up to do, and they need each other to heal old hurts and go forward.  English dub names and personalities.
An added note for this one- I’m slowly editing and reposting.  There are a lot more chapters on FFN than AO3, so if you want to read everything available, go to FFN.
The Ouija Board
Tumblr media
Status: Complete, Ships: Izzy x OC, Sorato, Jyoumi, Tai x OC, implied Daiken, Takari, Rating: teen
Summry:  Companion piece to Four Years, set in the future of the story.  English dub names and personalities.  Tai and Davis drag the boys to a local cemetery to invoke the spirits on Halloween night. Strange things start happening afterwards, especially to Izzy. When the boys finally own up to their seance, Amy is aghast to learn that they broke all of the rules a Ouija board puts in place to keep spirits in their own world… A four chapter humor/horror story.
Seeking Resonance
Status: Complete, Ships: Koushiro x OC, Takari, Sorato, Mimi x OC, (Included couples that are not end game: Koumi, Jyou x OC) Rating: teen
Summary:   An AU story that follows Koushiro as his long distance relationship with Mimi begins to falter, then branches out to include the friends who touch his life.  This is an emotionally complex story about the Adventure gang in their late 20s/early 30s trying to figure out what they need and how to be happy.
Voices
Tumblr media
Status: Complete, Ships: Sorato, Jyoumi, Koushiro x OC, Taichi x OC, Takari mentions Rating: teen
Summary:   An AU fic set in Odaiba, featuring the older Chosen (Taichi, Yamato, Sora, Koushiro, Jyou, Mimi, and OC Eimi). The story follows them through their first year of high school in real time using journal entries, focusing on portraying character, and on delivering an authentic Japanese high school experience. Read on for teenage hijinks and school life :)
Crackfics
A Debtor’s Hell
Status: Complete, Ships: general/Taishiro-ish, Rating: teen
Summary:  Koushiro is in danger of failing his gym practical, and Taichi spends hours coaching him to pass. Suddenly, Koushiro finds himself in debt to his best friend, and when Taichi calls in the favor, our favorite nerd has no way out… Part actual content, part silly humor. Oneshot. Cross-dressing and some OOC moments.
26 notes · View notes
sloanerisette · 2 months
Text
Fic For Odaiba Day 2024: You Need A Promotion, I Want A Shake Up, So Let's Get Married!
Hello everyone! Happy Odaiba Day! 25 years of Digimon! It's incredible to think about! I'm so happy I've gotten back into this show and this fandom because it's been so wonderful!
I know it's not Odaiba Day in America but it IS Odaiba Day in Japan so I'm going to post the FIRST of my two fics I'm osting for Odaiba Day!
Title: You Need A Promotion, I Want A Shake Up, So Let's Get Married! Summary: A newly 30 Mimi Tachikawa has it all: great friends, her dream career, and tons of fans, but she's missing one thing: a relationship she isn't allowed to have because of an overbearing manager.
Jou Kido is living a simple life: he goes to work at his father's hospital, he comes home, he goes to sleep and does it again. However, pressure from his father has him needing to live up to his lofty expectations to one day secure a promotion that will make all of the work he's done in his life worth it.
When the two of them get in touch after so many years and learn of each other's struggles, they decide on the obvious solution: pretend they're married. Big thanks to Camp Digimonth and zuz-senpai for all the support and excitement for this fic! I'm so happy to have this first chapter out and I hope you all enjoy! I'll post a blurb here under the read more, but you can view the fic on AO3 HERE!
Bright lights shined in her face, which a make up artist was currently patting foundation on. Mimi Tachikawa sat in a chair, curlers in her hair, phone held gingerly in her nicely manicured hands, and she tapped through it and looked through her contacts..
In three days it would be her thirtieth birthday, and she couldn’t be more excited. She was excited to reach a new milestone in her life— not that turning thirty was a particularly big one, anyways— and more than that, she was getting the finishing touches set up for her big birthday party. She rented out a fancy Italian restaurant at one of the nicest hotels in the area, one that had a beautiful view of the bay, and a bunch of her friends would be there to celebrate with her. Though the invites she sent out already were for fellow models who she worked with and became friends with since her late teens and early twenties, an old photo popping up on her phone reminded her of another group of friends she needed to invite. She tried to keep it small at first, but, well, she was Mimi! How could she keep an event like this small when she had so many friends? Especially friends.
And though she hadn’t had a chance to spend as much time with them as she wanted to for years, they were old friends and classmates who meant the world to her: Yagami Taichi, Ishida Yamato, Takenouchi Sora, Izumi Koushiro, Kido Jou, Takaishi Takeru, Yagami Hikari, Motomiya Daisuke, Inoue Miyako, Hida Iori, and Ichijouji Ken.
Twelve extra people was going to be a big ask considering she already had, what, twenty some other people going? But she couldn’t leave them out. It had been a long time since she sat down with most of them, but they were still all friends to some degree.
“Tachikawa-san? I need to apply your lipstick real quick,” the young woman who was doing her makeup told her. Mimi’s eyes flicked up to her and she smiled.
“Of course! What color?” she asked.
“It’s this,” the girl said, holding up a lipstick tube that was colored a beautiful, deep, rich burgundy.
“Oooh, that looks great! Go ahead!”
Mimi puckered her lips, and as the make-up artist started to apply the first coat, she glanced back down at her phone, adding each of them to a group chat. Once the makeup artist finished the first coat of lipstick, Mimi started rapidly firing off messages.
[Tachikawa Mimi]: Hey everyone! It’s Mimi!
[Tachikawa Mimi]: I’m having my 30th bday in a few days! And you’re all invited!
[Tachikawa Mimi]: Sorry for it being so late notice! I’ll send you all the time and location!
She sent the RSVP from her calendar, unable to stop from feeling giddy, practically bouncing in her seat. Her phone immediately buzzed like wild, and she couldn’t wait to check on it after the shoot.
The girl finished the second coat of lipstick, and Mimi looked at herself in the mirror as she then removed the curlers, letting her hair fall down in loose curls and waves.
“Is hair and makeup done?” a man’s voice called from the other end of the room.
“Yup!” the make up artist chirped back. Mimi popped up from the chair. She gave herself a once over, turning to one side, then the other, to appreciate the midnight blue evening gown she had on. Combined with the lipstick and her honey brown hair, she looked like a real stunner, if she said so herself.
“This looks great! You did an incredible job! Thank you so much,” she beamed, before heading over to the set.
20 notes · View notes
taikouvember · 4 months
Text
Tumblr media
Taikouvember 2024 - Prompt Guideline
If you read about Taikouvember and are excited for the event, but don’t know how to interpret the prompts, let us give you a little bit of input about what was on our minds when we brainstormed them. Maybe it will give you some inspiration! Singular posts outlining every single prompt will follow soon as well.
Any kind of medium is allowed: Fanfiction, drabbles, poems, fanart & doodles, picture & gif sets, AMVs, playlists, meta analysis, rambles/rants... You name it!
Disclaimer: It is all up to you and you don’t have to follow any of the following ideas!
Day 1, Monday, November 4th 2024: Friendship
What is your favourite aspect about Taichi's and Koushiro's friendship with one another? What do you enjoy the most about their dynamics, what makes them special to you? Do you have headcanons about inside jokes, hobbies, etc.? Where could you see them have quarrels and arguments? Are there moments in the anime, manhua, games, etc. that stuck out to you, which display their platonic bond best?
Additional idea: What is your favourite aspect about their relationship/dynamic with Yamato?
Alternate prompts: Connection / Distance (2022) & The Multiverse: Canon vs. AUs (2023)
Day 2, Tuesday, November 5th 2024: Love
What is your favourite aspect about Taichi's and Koushiro's romantic potential with one another? What do you think makes them work out as a couple, how do you think their dynamic might look like? What kinds of habits and routines would they have? Are there any hardships they would have to overcome together? Do you have fluffy and cheesy headcanons about their love?
Additional idea: What is your favourite aspect about their relationship/dynamic with Sora?
Alternate prompts: Adventure / Calm (2022) & Past / Future (2023)
Day 3, Wednesday, November 6th 2024: Sincerity
What are situations where they would have to be sincere with one another? Are there any confessions they have to make (in regards to love and otherwise), any things they need to open up to towards each other? Are there secrets they have been hiding from the other?
Additional idea: What is your favourite aspect about their relationship/dynamic with Mimi?
Alternate prompts: Work / Casual (2022) & Habits / Change (2023)
Day 4, Thursday, November 7th 2024: Reliability
How do you see them complementing and supporting each other? How does Taichi's brave mentality help Koushiro's intellect - and vice versa? Are there moments in the anime, manhua, games, etc. that stuck out to you, which display their loyal, reliable attitudes towards each other best?
Additonal idea: What is your favourite aspect about their relationship/dynamic with Jou?
Alternate prompts: Confession / Lie (2022) & Trauma / Healing (2023)
Day 5, Friday, November 8th 2024: Hope
In case we would get any kind of new media (promo art, a new anime for the original or reboot timeline, a new movie, etc.): What are your personal hopes for them? How would you like to see their dynamic develop or change, how would you like to see it play out in the future? Are there any headcanons or guilty pleasures, however self-indulgent they may be, that you would love to see come to life?
Additional idea: What is your favourite aspect about their relationship/dynamic with Takeru?
Alternate prompts: Extroverted / Introverted (2022) & Visible / Invisible Affection (2023)
Day 6, Saturday, November 9th 2024: Light
"Shedding some light" and positivity on your personal experiences: What does the ship mean to you? When and why did you start to ship or at least appreciate it, do you have specific memories you would like to share? Have you made any fanwork in the past that you are particularly proud of?
Additonal idea: What is your favourite aspect about their relationship/dynamic with Hikari?
Alternate prompts: Loyalty / Jealousy (2022) & Brave Knowledge / Curious Courage (2023)
Day 7, Sunday, November 10th 2024: Kindness / Miracles
Do you want miracles to happen for them? Do you want to grant them a deed of kindness or highlight their kindest moments and qualities? Either way: In a series that regularly lets miracles happen to its protagonists, that kindly fulfills dreams, the last day shall be dedicated to your personal wishes: You may post whatever comes to your mind in regards to Taikou, something you always wanted to shout into the world, draw, write, create, but never found the opportunity to do so! We’re excited to see what you have in mind!
Additonal idea: What is your favourite aspect about their relationship/dynamic with Daisuke, Miyako, Iori, Ken or any other Chosen Children?
18 notes · View notes
irontragedyreview · 9 months
Text
One of those manga that I consider deserves an anime adaptation is Ao No Flag/Blue Flag. It’s short but above all I like how it touches on issues related to sexual orientation. Touma and Taichi’s story is tender but above it’s builds little by little, in the same way that the relationship between Taichi and Futaba is also beautiful and it doesn’t feel that she is the beard or  the middle of Taichi and Toma love.
Taichi learns and changes with Futaba and they push each other to improve, Taichi with Futaba learns to get out of his comfort zone, which had been one of the reasons why he and Touma had drifted apart, Taichi stops hanging out with Touma because he believes that they are in different social circles in high school, even when Touma tried to get closer but there is only so much he can do. His friendship with Futaba and subsequent love relationship doesn't feel bad because it’s built in a way that develops a relationship between two people who begin to enjoy each other's company, but above all who are there to support each other in overcoming their fears, even if in the end they end up with different people.
However, I feel that the end of the manga feels rushed in presenting you with certain events, both Touma and Taichi's relationship, and Masumi's revelation as bisexual. I would like to focus on Masumi more than any other character because honestly a cliché part of my heart was hoping that Futaba and her would end up together, especially because of the level of devotion that Masumi had towards her. Also in the end when  I saw her  married to a man, it left a bad taste in my mouth because she had settled for the easier life that represented hiding her sexuality. Of course, this doesn’t really happen, from the few words we see from Masumi's husband we can understand that he’s aware that Masumi likes both men and women and it doesn’t make him jealous or insecure because he trusts Masumi and her choice to be with him. In my blog there is a post (not mine) talking about this topic. At the beginning I also saw Masumi's marriage as her deciding to stay in the closet, especially because throughout the story the way she describes her feelings for Futaba and how she had tried to go out with boys but without feeling right. All this leads us to think that Masumi is a lesbian, which is why I would have liked one chapter before the ending with a broader development of her sexuality. Although, I want to say this I consider that knowing her bisexuality feels rushed but I don't feel that it’s wrong or queerbating because I can find myself in Masumi, I don't feel that it’s wrong to show that we’re still not completely clear about our sexuality while we’re teens, as a bisexual woman I remember going through a process to accept that I liked men and women. It was a big "I can't be a lesbian because I like guys" but it was also "I'm not straight because I like women too”, getting to a point where both thoughts coexisted and didn’t repel each other took me a while, especially because at the time I didn’t know the word bisexuality. I think that despite everything, Masumi is a good character to feel identified in the discovery of her sexuality because I can understand how her crush with Futaba canceled the possibility of perhaps feeling something romantic or even sexual towards others,  it makes sense that her feelings for Futaba occupy a space that perhaps didn’t allow her to fully explore other relationships to fully understand other aspects of her. That's why I think that once her crush with Futaba ended and had the opportunity to meet other people, she was able to discover herself.
49 notes · View notes
tobiasdrake · 3 months
Text
Digimon Adventure 01x19 - Nanomon of the Labyrinth / The Prisoner of the Pyramid
Previously on Digimon Adventure: The enigmatic Piccolomon sent Taichi on a mystical quest to remember how to ride a bike. He made everyone clean his house in payment.
Now we're back on the trail with only two Crests left to find!
Tumblr media
We open on our kids messing around with Etemon's Dark Network. An idea they now have to deliberate while Koushiro is already doing it.
Taichi: This? Koushiro: Yes. This is undoubtedly the network Etemon uses to track our location. Jou: Then he probably knows we're here! Mimi: EHHH!?!? Let's make a run for it! Palmon: Let's run! Let's run! Sora: Now, now. Calm down. Yamato: Even if we run from here, he'll sniff us out again sooner or later.
Valid arguments being made on both sides. :P On the one hand, this is an opportunity. On the other hand WTF GTFO. You can tell from context that the offscreen events leading up to this moment were basically just Koushiro going "Oh, hey, check that out!" and running over to it without telling anyone what he's doing.
Jou and Mimi are instantly not about it the second Koushiro explains what this is, while Sora and Yamato want to see where he's going with this.
In the dub:
Tai: What is it, Izzy? Some kind of power generator? Izzy: Even better. Etemon has hard-wired the entire desert, creating an extensive computer network. My guess: This is one of his remote data-access terminals. Tai: Great! Let's crash it! Sora: It could be a booby trap. Matt: If it is Etemon's, I say we cross the wires and mess it up good!
Something that's been interesting to take note of through the Etemon arc is the way the show anticipates kids coming in and out of it, or not remembering what's going on.
The original expects you to have followed along enough that Koushiro can just say "This is the network he tracks us with" right at the start. Over here, Izzy takes the time to explain what the Dark Network is, in case the kids watching don't remember or haven't seen previous episodes.
This means cutting into Mimi and Jou's lines, which have been cut - And, in turn, cutting those lines is another small influence on the show's tone. It gives the kids a unified front as a heroic team instead of squabbling like frightened survivors. The only voices heard are of support for Izzy messing with their nemesis - with one note of caution from Sora.
Tumblr media
Koushiro unplugs a cable from Etemon's device, plugging it into his laptop.
Tentomon: What are you doing? Koushiro: Like I thought. (An array of data representing the cables and their hubs appears on Koushiro's screen.) Koushiro: This is Etemon's network information. I might be able to find something in here! Takeru: What's that mark?
A notification has appeared in the upper left corner of Koushiro's screen, indicating that he's received email. That wasn't there when he first looked at the network, which means it came in seconds ago.
(For a kid who lamented back in the File Island sewers that he misses sending emails with his friends, Koushiro doesn't seem to get a lot of emails. He's been here for over two weeks and this is the first he's had?)
Koushiro: Email? But from who? Jou: Could it be from the enemy!? Taichi: Open it, Koushiro. Koushiro: ...oh, okay!
Koushiro pops open the email, which opens with "HELP ME!" in oversized font.
Sora: "Help Me"!? Koushiro: "If you help me, I can tell you where the Crests are hidden." Yamato: The Crests!? Taichi: Who is this guy!?
Just like that, we have a new angle of investigation to pursue. As a clever bit of subtle foreshadowing, the email is from the address, "[email protected]". Nanomon @ NanomonNetworkInternetAccess.Master.com, huh?
In the dub, the appearance of the network isn't acknowledged directly, with Izzy simply talking in roundabout terms about how he's trying to access Etemon's data.
Tentomon: What are you going to do with that? Izzy: I wonder... (An array of data representing the cables and their hubs appears on Koushiro's screen.) Izzy: It's entirely possible that our computers have compatible systems. And if they do, I can tap into Etemon's network. T.K.: Hey, you have mail. Izzy: Oh? That was quick. Who even knows I've logged on? Joe: Oh no, it's Etemon. Tai: Open it! It's probably just spam. Izzy: Right.
It's a little janky that Izzy keeps talking about how he might be able to tap into Etemon's systems while a clear visual representation of Etemon's accessed systems is shown to us. If you know what you're looking at, you can take it as him back-explaining his logic for how he already got to this point. But it could just as easily look like Random Computer Junk.
From here, the dub had to do some finagling. As part of the localization process, they've been trying to write around the fact that things are written in Japanese. They earlier redrew a message from Joe to be in English and had Patamon dismiss a Japanese note as "written in Digi-code".
For the mystery email, they replace the letter entirely with scripting language. Instead, opening the email inexplicably causes an audio file to play and exposit the message for the kids instead.
Computerized Voice: Help me! SOS! Mayday! I'm being held prisoner! Help me escape and I'll guide you to the Crests you seek! Group: Whoa! Sora: Do you think he's for real? I mean, who could it be?
They also changed the email address to www.as#4dfja9z$.com, which isn't even an email address. That's just a URL made up of random letters, numbers, and symbols. You had one job.
Tumblr media
Meanwhile, in his trailer, Etemon is furious over having technical difficulties yet again.
(Felt. The Network just came back online last episode and now he's lost the kids again. I'd be mad too.)
Etemon: What the hell is going on here!? Where are the Chosen Children!? Gazimon 1: It's no use, Etemon-sama! I don't see any malfunction on our end! Gazimon 2: But there is an abnormality occurring in the pyramid's host computer. Etemon: Nanomon.... Let's go pay him a visit. Both Gazimon: Yes, sir!
Etemon's trailer takes off towards Nanomon. We cut back to our kids searching the desert, following instructions from their mysterious email contact.
Tumblr media
Though Jou's still against this plan, Mimi's come around on it.
Jou: What are we supposed to do if this turns out to be a trap? Mimi: But he might turn out to be on our side, like Gennai-san. Tai: We can't ignore him if he needs help! Koushiro: (walking while carrying his laptop open in one hand and typing with the other) It should be somewhere around here....
As a small thing, I appreciate that Mimi calls him "Gennai-san", the most generic and neutral honorific in Japanese language. Gennai might see himself as a wise old sage shepherding these kids but you fucking earn that -sama and then we'll talk. Right now, we are equals.
In the dub, Jou's reluctance is played up into a full-blown panic attack and Mimi's response is completely different.
Joe: Ohhhh I don't like this. I don't like it one little bit. I don't like the look of it, I don't like the smell of it, I don't like the-- Mimi: Don't have a brain cramp, Joe! You're turning green around the edges. Tai: Yeah! Somebody asked for our help; We gotta at least check it out, right? Izzy: (walking while carrying his laptop open in one hand and typing with the other) According to my laptop, we should be getting close....
For once, it's Mimi having a line added or rewritten to be mean to another character. Naturally, it's Joe, the other butt of the dub's jokes.
As they walk, Sora and Takeru hold their Tags out in their hands like a radar, waiting for the telltale glowing pulse that signals a Crest nearby. Takeru suddenly gets a response.
Tumblr media
A nearby cave wall emblazoned with Takeru's Crest calls out to his Tag. The kids approach it and, as usual, the wall vanishes and forms into a Crest that slots into Takeru's Tag. Leaving behind the entrance to a cave.
Takeru: I have my Crest! Tokomon: That's great, Takeru! Yamato: I guess this wasn't a trap after all. Koushiro: He said he'll tell us where the last Crest is after we help him. Taichi: Awesome! Then let's go do that right away!
Their mystery contact is using the old "Half up front, half upon completion" standby. You know this is a good idea when you're getting paid using organized crime methods.
Since Joe hasn't suggested (twice) that this is a trap, Matt doesn't have that setup to retort to. He quips instead.
T.K.: Awesome! My own Crest! Tokomon: Congratulations! Matt: Now, T.K., don't think this means you get to stay up any later. Izzy: Sora's Crest is the only one left to find. Maybe if we rescue this guy, he can lead us to it. Tai: Well, what are we waiting for? To the rescue!
Matt is rigorously enforcing bedtime under Lord of the Flies conditions? XD I mean, honestly, that fits. I can absolutely believe that of him. Must've gotten really good at measuring time by the sun in these last two weeks, though.
While everyone's celebrating, Mimi notices the cave behind them. Its walls are inscribed with more of that Digimon World source code.
Tumblr media
Koushiro confirms that this is the programming language he's been learning.
Koushiro: There's no mistake! These are the same characters I've seen before in Andromon's city and Kentarumon's ruins! The only difference is this symbol....
Koushiro brushes out a character from the code with his hand, causing the cave to suddenly be illuminated with no clear light source.
(I love how this directly follows up on his experiment in Andromon's factory, turning the power on and off.)
Koushiro: Just as I thought. In here, energy is produced based on a program. Mimi: What do you mean? Koushiro: For instance, by rewriting the program written on this wall here, I can turn the light on or off. I think if I do this....
Koushiro messes with the coding a little more, causing a visible map to suddenly appear suspended in the air as if it were a projected hologram.
Koushiro: That is a map of our surrounding area. Jou: That can't be.... How can you do stuff like that just by using a program written on a wall? It's not like we're in a computer. Koushiro: (gravely serious) You don't know that. Group: Eh!? Koushiro: I've been thinking that this place, this entire world could be a place where data and programs take physical form. Yamato: I remember you talking about that earlier. Sora: If this is a data world, does that mean us too? Koushiro: Yes. We exist as data, without any real substance. Taichi: No real substance? Like, we don't have flesh and blood? Mimi: Something like yurei (ghosts)? Koushiro: S-Something like that. Yamato: Where are our real selves? Koushiro: Maybe... Back at the campgrounds. The Digimon are "Digital Monsters" in the truest sense. They're lifeforms shaped out of data. Takeru: Then are we inside something like a video game? Koushiro: It's not that simple. Yamato: Could the person who sent the email be made of data too? Koushiro: I don't know....
This is a massive revelation that Koushiro's laying out for everyone. They all missed out on his factory experiment and only Mimi was with him at the ruins, but now he's able to lay out everything he's learned and theorized since he started playing around with the Digimon World's source code.
I've chosen to leave yurei up there because this is our third encounter with spookers and our third word choice, and I wanted that distinction clear. Bakemono, obake, and yurei are all translatable to "ghost" but have different meanings and usages. I called out the previous uses of bakemono and obake; Here, Mimi says yurei which is actually the common idea of a spirit lingering beyond the grave.
The dub makes it seem like Izzy's just figuring this all out for the first time, which is an odd choice.
Izzy: See these hieroglyphs? Look familiar? This is definitely the same writing we've come across before. ...wait a second, this is a new one. (Izzy rubs out the symbol, making the light turn on) Izzy: Prodigious! This is amazing! Do you know what I'm thinking...? Mimi: I've got a feeling you're going to tell us. Izzy: Well, you see, it's quite possible that these hieroglyphs are not a form of writing at all! But rather a code; Computer code! If you change a piece of the code, then you change the program. (Izzy brings up the map) Izzy: Like that, for example! Joe: Wait. Back up. Are you telling us that this whole place is some kind of giant computer game and that none of it is really real!? Izzy: Ohhh, it's real alright! Joe: Huh!? Izzy: Y'know, I'm thinking that everything we see and everybody we meet in this place are actually pieces of data or programming given physical form. Matt: Now, wait a second. If you're right and this whole thing is one big computer, then how did we get here? Did we squeeze in through the floppy drive? Izzy: (chuckle) No, we're just kilobytes of data too. I mean, the versions of us that are in here. Tai: If I'm just data, why do I still feel like I'm flesh and blood? Mimi: And I still have to go to the little girls' room. Izzy: You don't really. You just think you have to. Mimi: Oh. Well, I hope you're right 'cause-- Matt: Where's the real us? Our flesh and blood bodies? Izzy: I dunno. I suppose we're back at the campgrounds still. Yeah, we're alive back there! We exist simultaneously with our other selves. We're in a parallel digital universe like the Digimon. T.K.: Does that mean I don't have to worry about brushing my teeth anymore? Izzy: We've got bigger things to worry about now, T.K. Matt: Although do us all a favor and don't stop brushing. Izzy: Yeah, please!
The line "Oh, it's real, alright!" doesn't go half as hard as Koushiro's stone-faced "Wakari masen yo." This makes me sad but doesn't really hurt the scene.
They took out Mimi's question about ghosts and Izzy's noncommital and kinda spooked answer, replacing it with Izzy telling everyone to stop pooping. A choice, to be sure.
Instead of explaining that the Digimon are living beings made of code, Izzy suggests that the kids' physical bodies are actually consciously living separate lives independently from our version of them. As existentially terrifying as it is wildly off-base. In his defense, it's only a theory, and it's a reasonable assumption based on the theorizing he's done.
I desperately want to know what T.K.'s using to brush his teeth, though. Matt. Matt, I have questions. I have serious questions, Matt.
Tumblr media
Suddenly, Koushiro notices something significant.
Koushiro: EH!? Taichi: What's wrong? Koushiro: P-Please wait a minute! I'll make this easier for you guys to understand.
Typing at his computer, Koushiro writes some code of his own to alter the shape of the displayed map. It slowly morphs into a levitating projection of a globe, still displaying the Digimon World.
Koushiro: I've adjusted it so that you can see the entire globe. This includes the program I saw in Andromon's city. If I combine that with the map Gennai-san sent me.... Yamato: It's a big world. It looks about the size of Earth. Koushiro: Actually, it's exactly the same. Yamato: Huh? Koushiro: (pulls up the email) Look at this email address. The computer that sent this email is located.... (A blinking dot appears on the globe map) Koushiro: Right there. It's the same place as an internet homepage I used to visit often! Taichi: What does that mean? Sora: Are you saying that the email came from our world? Koushiro: Not only that.
Koushiro pulls up a second projection, showing the Earth. Both globes are then covered in lines.
Koushiro: Over on the right is the Earth that we know. If you put our computer network system on top of this world.... (The two globes merge into each other and fade away, leaving only the network lines perfectly matched to each other) Sora: The shape of the networks is exactly the same! Mimi: Ehh? What does this all mean? Koushiro: This is a world made only of data. The same as you'd find in a video game or computer. But that doesn't mean we're in a place far away from Earth. We're inside the computer network itself! In other words, Digimon World is the same place as our world! You could even call it the Earth's shadow! Jou: This... This was Earth!?!?
Even more massive revelations laid out by Koushiro. We didn't Isekai to a far off fantasy world, but to the internet itself. A reflection of the world born of the data flowing through every system on Earth.
In the dub:
Izzy: Hey! Wait a second, I've got an idea. Let me see, if I can just crack this program.... Mimi: Uh-oh. He's in computer dork mode. This could take a while. (Izzy forms the map into a globe) Izzy: I've called up a holographic map of the Digi-World. You can see how physically similar it is to the planet Earth. In fact, there are a lot of parallels with our world Matt: (sarcastic) That's terrific, Izzy. Great time for a geography lesson. Izzy: Pay attention and you might learn something. Matt: Yeah? Izzy: (pulls up the email) If I enter the email address of the person who contacted us, I can find his location in the Digi-World here. (A blinking dot appears on the globe map) Izzy: Now look: That email address is from a web domain in our world. I've logged onto it a thousand times. Tai: Is there a point to this somewhere!? Sora: Is the person who sent the message in our world or the Digi-World? Izzy: That's just the thing: He's in both. (Izzy pulls up the two globe projections) Izzy: Look. The Digi-World's on the left. The world we know is on the right. And if I overlay them like so.... (The two globes merge into each other and fade away, leaving only the unexplained lattice lines perfectly matched to each other) Sora: The white lines match up perfectly! What does it mean? Mimi: (crying) Reminds me of the balls of yarn my kitty used to play with! Izzy: That's the world's digital network. Through those lines, every computer on Earth is connected to every other computer. The Digi-World is the physical embodiment of the data passing through them! Guys, we're seeing something nobody else has ever seen, that nobody even knows about: The digital shadow world that exists alongside our own. And they're not just parallel, they're physically linked so that anything we do here will have effects on the other. Joe: For real? Intense.
Everyone's weirdly hostile to Izzy's exposition in the dub. He's laying down intense revelations about the nature of the world they've been trapped in, and they're like, "Ugh, here he goes ranting about nothing again, CAN WE MOVE ON YET!?"
Also, I think Mimi's cat is dead. Absolutely nobody is interested in engaging that out-of-the-blue conversation topic.
That aside, this section of exposition goes really well. We lose Koushiro signaling to continuity by referencing Gennai's map and Andromon, but we gain Mimi signaling to continuity by referencing Kentarumon's ruins so there's that. However, Izzy's infodump itself, his explanation of what Digi-World is and what it means to be here, is solid.
Tumblr media
So now we know the true nature of the world we're in. Question is, where do we go from here?
Takeru: So, can we go home soon, then? Yamato: No. It isn't like that. We're close, but we're not on Earth itself. Jou: (distraught) Now I'm more confused than ever on what to do. Gomamon: What do you mean by that? You've got me! Piyomon: Sora, I didn't understand most of that but does this mean you're leaving? Sora: No, it looks like we still have stuff to do so we can't leave until that's done. Taichi: Yeah! Anyways, we should save the guy who sent that email first. Where do we go from here, Koushiro? Koushiro: Well, if I run this program that was attached to the email.... Agumon: The wall! Gabumon: I can see outside!
The projection of the world vanishes. The wall behind it vanishes with it, creating an opening to the outside.
In the dub:
Izzy: And here's the coolest part: Wherever this person is in the Digi-World, I think I can take us there just by entering his email address and clicking on it. Like accessing a web page! T.K.: Hey! All this techno-talk is making my head spinny! Matt: Computer guys can never just do something, T.K. They gotta spend all day telling you how they'll do it! Joe: This is depressing. Even when I'm computer data, I have allergies! Gomamon: Look on the bright side, Joe! If you weren't here, you never would have met me! Biyomon: Sora! Does this mean that we don't exist? That we're really not friends? Sora: Of course not! This may be a make-believe world but that doesn't mean our friendship isn't real. Truthfully, I don't get it, but it sounds right! Tai: Oh well. Wherever we are, we're here, so let's figure out what we're gonna do next. Come on, Brainiac, what are you waiting for!? Izzy: Okay, okay! All I have to do is click on the guy's email address and then bingo. Mimi: I hate bingo! It's so confusing with all those different letters and numbers and--Hey, look! A door!
Entirely different. The main plot shift here is that Izzy devises a plan to use the Digital World's nature to shortcut the team to their destination, rather than using a program their contact sent them.
Both versions have their advantages; The former plays into Izzy's brilliance and allows him to turn all this information he's uncovered into tangible results, while the latter reveals that our mystery contact is as knowledgeable about all this as Koushiro, capable of manipulating the environment through code. The dub version is a good hype moment for Izzy, while the original is a stepping stone in developing the plot.
But the rest of the dialogue is just more dunking on The Nerd and one-off gags from Mimi and Joe. This riffing lets them talk over the part where the kids express the anxieties of being alone and lost in this hostile world and its expectations of them.
Tumblr media
Taichi: What just happened!? Koushiro: The sender should be outside here. Sora: Eh!? He was that close to us!? Koushiro: No. Apparently, that program serves to warp and connect airspace.
The kids emerge in another part of the desert, bearing no resemblance to the craggy valley they came from. Per Koushiro, they've effectively created a shortcut between two spaces in the Digimon World.
(It's basically the same thing as what happened in the cave Taichi got his Crest from, when they escaped Etemon by slipping through a back wall to a new geographical region far away from the Koromon Village he was attacking.)
In the dub:
Tai: Alright! Last one through's a rotten egg! Izzy: Our electronic pen pal is right out there! Sora: Or it could be a trap! Izzy: No way! We're just bits of data so I uploaded us as file attachments a-- Sora: (annoyed) Okay, I believe you!
Apparently this can't be a trap anymore because we're all file attachments. I have no idea what that's even supposed to mean.
We also don't get the explanation that the two caves are now linked in space, tying back to the Crest cave, because that's not what happened in the dub. Izzy just Fast Travelled us.
Outside the cave, the kids get a glimpse of their destination.
Tumblr media
This is where their mystery contact sent the email from. Oh, and look! We've seen that thing in the distance before, haven't we? Taichi whips out his mini telescope to confirm: It's Etemon's trailer. He's here too.
Etemon emerges from the trailer and furiously marches into the pyramid, uttering:
Etemon: I'm here to punish you, Nanomon.
Looks like we know who our contact is. (If that brief scene of Etemon earlier didn't already make it apparent.)
In the dub:
Etemon: That's it! It's the dumpster for you, Datamon, you old pile of junk! Joe: Uhh, I think I left the water running back in the Sphinx.
In the dub, Nanomon is called Datamon. Not quite sure why they changed it; Probably assumed American kids wouldn't understand what nanotech is to get the reference.
With both their mystery contact and Etemon here, the kids do the only responsible thing!
Tumblr media
They fucking leave, of course. Giving Etemon a wide berth for whatever the hell he's doing and making camp back in the valley side of their space wormhole. Plan is to infiltrate the pyramid tomorrow morning, bright and early.
We join Taichi that night as his shift ends and Sora comes to relieve him. It's not clear when exactly they stopped being chauvinistic about the nightwatch shifts but it's a solid improvement.
Sora: Taichi.... Piyomon: It's time to change shifts. Agumon: Thank goodness! I was starting to get tired. Good night! (Agumon heads inside) Taichi: Me too. I should get some sleep to prepare for tomorrow. Sora: I'm sorry, Taichi. Taichi: Huh? Sora: Ultimately, you're going to all this trouble just for my Crest and to help the sender of that email, right? Taichi: That's how it turned out. Anyway, I'm not the sort of guy who turns his back on someone in trouble! Sora: ... Taichi: You're not acting like yourself, Sora. Sora: Eh? Taichi: If it was your Crest we found first, would you be as bothered by this as you are now? Sora: ...I doubt I even would have thought about it. Taichi: See? Besides, we're just data now. No point in thinking too seriously about all this! Sora: If we return to our world, do you think we'll remember our experiences and the Digimon we met in this world? Taichi: Who knows?
Sora's having "Am I a burden?" anxieties right now. By her own admission, she would storm that pyramid without a second's thought if it was for someone else's Crest, but the fact that it's hers gives her pause.
Taichi, meanwhile, expresses an alarming takeaway from Koushiro's infodump.
In the dub:
Sora: Okay, Tai. You're relieved. Biyomon: It's our turn to stand watch! Agumon: Finally! It's not much fun watching a bunch of rocks. (yawn) Good night! (Agumon heads inside) Taichi: I thought being in a computer cartoon adventure would be more fun than this! Sora: A-About tomorrow.... Tai: What? Sora: I'm worried. That Etemon is bad news. Is it really worth it just to find my crest? Tai: Sure! Don't worry, Sora! Piece of cake! I'm looking forward to spinning that ugly monkey around by his tail! Sora: ... Tai: Hey, come on! Snap out of it! Sora: Huh? Tai: Look, if it was my Crest or T.K.'s or anyone else's we were going after, you know you wouldn't hesitate for a second. Sora: Oh... Maybe you're right. It's just, after what Izzy said-- Tai: What? You mean all that stuff about us being nothing but little kilobytes in the great computer program of life? Sora: It just makes us seem so small and insignificant. Like nothing we do really matters. Tai: Of course it matters. We can't take the chance that it doesn't.
Sora's anxieties here are presented straight, but everything else around it is changed. The aside about Taichi wanting to save the email sender is changed to Tai wanting to kick Etemon's ass and show how cool he is. This is probably meant to convey Tai's overconfidence, since they also cut Taichi's alarming "Why take it seriously if we're just data" bit.
That part and Sora worrying about losing memory of the Digimon are removed. In their place, we get an out-of-place existentialist debate about purpose and destiny in a nihilistic universe, that seems entirely unrelated to anything Izzy said back there.
Tumblr media
The next morning, we find Sora clarifying details with Koushiro hours after Taichi's gone to bed.
Koushiro: Even though I said we're digitized, our data is bulky and elaborate. Considering the large amount of data that's been shifted into this world, it would probably cause feedback when we return to our own world. Sora: So, in other words, we should still treat ourselves as if we have real bodies, right? Koushiro: It's best to think that way. Sora: Right. Just as I thought, we shouldn't act irresponsibly just because we're data now. Taichi: (from the passage) HEY!!! WHAT ARE YOU DOING OVER THERE!?!? HURRY UP!!! Sora: Coming!
This is a critical contrast of the idea Taichi expressed earlier. He suggested we're just data so nothing matters, and now Koushiro is saying NO NO NO EVERYTHING MATTERS. Unfortunately, Taichi isn't the one hearing it.
In the dub:
Izzy: Remember, there's one thing that's important to keep in mind: This is more than a parallel universe. It's a shadow world physically linked to our own. Anything that happens here in the Digi-World can have a direct effect on things in the other. Sora: Then... the same thing goes for us, right? We're shadow versions of our other selves! Izzy: Right! Exact computer copies. Sora: So whatever happens, we have to be just as careful as if we were still our physical selves. Tai: HEY!!! Sometime this year! Man, you guys talk more than my mom's book club! CAN WE GO!?!? Sora: Alright!
The important context gets across: Do not behave recklessly because what happens to us here in Digi-World has real consequences. Both versions, however, are vastly different in how they lay it out.
Honestly, I think the dub's explanation is a lot more digestible. "Physically linked; What happens to one happens to the other" is a pretty common concept in virtual-world storytelling. If you cut off my arm in the simulation then my arm somehow falls off in the real world. Got it.
But in the original it's like. Okay. So. My arm isn't here, it's in the real world. I just have the idea of having an arm transformed into data and then made physical here. So if you cut off my arm... like, my real arm isn't here, so it should be fine. What happens then when it feeds back into my brain? My physical arm is still there. Do I lose the ability to move my arm or something because the data of Having Arms had the data of Severance coded into it?
As a stake, "There would be feedback because of the size of our data packets" is a lot harder to wrap my head around than "What happens in the video game HAPPENS IN REAL LIFE."
But either way, the point is made: The stakes are real so do not be stupid and reckless. Now let's go watch Taichi be stupid and reckless.
Tumblr media
Bright and early in the mouth of the sphinx, the kids plan their rescue mission.
Koushiro: There's a hidden passageway in the pyramid that's normally not visible. Jou: Our first and foremost priority is to save the sender and get Sora-kun's Crest. DO NOT get caught up in any unnecessary fighting! Taichi: Yeah, yeah, I know.... Takeru: I wanted to go too.... Yamato: Don't be selfish, Takeru. Mimi: Be careful, everyone. Palmon: Keep steady. Gomamon: I'll be fine, no worries! Taichi: Yeah! Back soon! (leaps from the sphinx's mouth)
The team splits up from here. Taichi, Sora, Jou, and Koushiro leave on the field mission while Yamato hangs back with Mimi and Takeru.
(This is a well-reasoned division of duties. Jou-senpai and the two athletes are going into the intensely perilous infiltration, while Big Brother Yamato hangs back to watch over the younger kids.
With exception of Koushiro, who's on the field team because his laptop is critical to this operation and we don't have a means of communicating long-range. Though Koushiro is also an athlete, which is easy to forget. This is literally Senpai and the Soccer Team infiltrating the pyramid. Either way, it shows the kids are starting to think tactically.)
In the dub:
Izzy: I got into the program and found the most direct route to the center of the pyramid. Joe: Okay now, let's get this straight: We're only going in there to find this guy and get Sora her Crest, right? Tai: (dismissive) Right, right.... Joe: That means no fights or adventures or dangerous stuff! Tai: You whimper more than my puppy! Matt, Mimi, T.K., stay here and stand guard. Let's go in! Mimi: If you really don't think you need me.... Palmon: I miss you guys already! Gomamon: We'll be back in time to eat! Tai: Or get eaten!
The dub reframes the whole conversation to put Tai in the leadership role instead of Joe. This is tragic for Joe, since stepping up and taking charge of the op here is a great moment for Jou-senpai.
It also seems that they did not plan this in advance, as Dub Leader Tai makes the decision to separate Matt, T.K., and Mimi out from the group right here in the spur of the moment.
It does, however, do a great job of conveying Tai's problematic cockiness. He is appropriately insufferable in this scene.
Tumblr media
The forward team makes their way to the pyramid, following Koushiro's instructions. Once they arrive, however, he needs a moment to locate their secret entrance.
Koushiro: The hidden passage should be up ahead on this side of the pyramid.
Taichi scouts around the corner and spots Etemon emerging from the main entrance. Etemon yawns and stretches, having seemingly just woken up.
Taichi: (near-silent gasp, hides around corner) Agumon: (whisper) What's wrong, Taichi? Taichi: (whisper) It's Etemon! Jou: GEH!!!
Jou claps his hands over his mouth but it's too late. Etemon heard that. He turns, approaching the corner.
Etemon: Who's there?
The kids stand flat against the side of the pyramid, maximizing how long they have until he spots them. But it's only a matter of time.
Piyomon: What do we do, Sora!? Sora: Don't talk!
Finally, Etemon rounds the corner to... absolutely nothing.
Etemon: Am I hearing things?
Tumblr media
Taichi then makes mocking gestures at Etemon through the secret entrance Koushiro found. Because he once again thinks he's invincible right now. It's SkullGreymon all over again.
Etemon: Huh? I don't know why, but I feel like someone was mocking me. That's so irritating! I'll go sing a song or something to amuse myself.
Etemon storms off to go jam out his feelings while Taichi has a good chuckle to himself.
In the dub, as they make their way to the team, Tai's given a fairly basic command line to reinforce his leadership in this version.
Tai: Okay! Keep together!
Then the group approaches the pyramid.
Izzy: There's a hidden backdoor to the program you can use to get in. (The kids approach the pyramid) Izzy: That's funny. It should be right here. Etemon: Where's my friend banana sandwich!? (Taichi scouts around the corner) Etemon: You know I like to eat after my nap! Tai: WAUGH!!! (hides around corner) Joe: (whispers) Tai, what is it? Tai: (whispers) It's Etemon! Joe: WAUGH!!! Etemon: (noticing) Eh? Who's there? Biyomon: What do we do now? Sora: Quiet, Biyomon.
Here, the dub uses Etemon's line to alert Tai to check around the corner, rather than him just scouting out to watch the team's back. That's a lateral change, I think. Both versions work.
(Also, I love Izzy describing the hidden entrance as "a backdoor to the program". That's clever.)
Joe's still the one who reflexively shrieks and gives the game away, but I don't know how Etemon didn't hear Tai's equally loud yelp a moment before.
Then, during Tai's teasing, he calls out to Etemon too.
Etemon: (rounds corner) BOO!!! (nobody's there) Great, now I'm hearing things. Tai: YOO-HOO!!! Etemon: Huh? (no one's there) Y'know, I'm gonna have to have this place completely exterminated! Because something's startin' to bug me!
Not the best quip they've written. Etemon's forced to set up a non-sequitur just so he can then make a pun out of it. But the line it replaces wasn't exactly super critical or comedy gold either so, again, lateral change.
Tumblr media
As soon as Taichi's back inside the passage, Sora lays into him for that.
Taichi: He's gone. We're fine now. Sora: Don't act stupid! What if he caught us!? Taichi: It's fine, we're fine!
Meanwhile, Senpai has his eye on the ball and is ready to move ahead.
Jou: So this is the hidden passageway. Koushiro: It looks like data from the outside, but really there's nothing in it. Agumon: Let me see! (slaps the wall, hurting his hand) Koushiro: Everything besides the passage is comprised of data, so please be careful.
Agumon fundamentally misunderstood what Izzy was saying.
In the dub:
Joe: Well, now that we're in, what do we do? Izzy: I guess next we try to find a way through these walls. The trick is finding the weak points! Agumon: Hey, I found one! (slaps the wall, hurting his hand) Izzy: As I was saying, Agumon, the trick is finding the weak points. Let's use heads, not hands.
That's not even remotely what Koushiro said but it does connect to what they're doing. As they explore the passage, they find other fake walls leading to other parts of the pyramid. Those are the "weak points" that Izzy's referring to.
Tumblr media
Taichi: We can see outside from here. Koushiro: Yes. But no one can see us from the other side. Agumon: Ah! Gazimon!
A pair of Gazimon pass by in front of the "wall", noticing nothing and continuing about their business.
Sora: Keep quiet....
Tumblr media
Taichi does not keep quiet. Emerging from the fake wall, he kicks a Gazimon in the back and then ducks back inside.
Gazimon 1: HEY!!! WHAT THE HELL WAS THAT!?!? Gazimon 2: What? Gazimon 1: DON'T PLAY DUMB!!! YOU JUST KICKED ME!!! Gazimon 2: No, I didn't. Gazimon 1: LIAR!!!
This erupts into a fistfight, as the kicked Gazimon lunges for the other in retaliation. All while Taichi laughs quietly behind the hidden door.
In the dub, for reasons that can only imply some sort of problem with actor availability and redubbing or something, Wendee Lee (Takeru) suddenly voices Tai for exactly one line. It's incredibly noticeable.
Takeru: (possessing Taichi's body and speaking through his lips) Hey, check it out! You can see right through this wall! Izzy: Yeah, here it is. It's another breach in the system's security. Agumon: LOOK!!! GAZIMON!!! (Gazimon pass by) Sora: Be still.... (Tai runs out and kicks one) Gazimon 1: HEY!!! WHAT'S THE BIG IDEA!?!? Gazimon 2: What do you mean? Gazimon 1: Don't give me that! Just 'cause the boss likes ME! Gazimon 2: Have you gone nuts!? Gazimon 1: NUTS TO YOU!!!
For the good, I'm still enjoying the hidden passage being a "breach in system security". Also, the fight Tai provokes inadvertently revealing bad blood and workplace drama between the Gazimon got me. XD Fantastic addition.
For the bad... whatever the hell is going on with Tai's voice, of course. Did they forget to dub a line and need to make due? What's happening here? But also, Sora's line change from "Be quiet" to "Be still" doesn't make sense. They can't see us. We're not hiding from a Jurassic Park T-Rex, we're trying not to be overheard.
Tumblr media
Sora, of course, is livid after that stunt.
(Reasonably so. By now, Taichi would definitely have been vote-kicked out of the party if that was an option. He is going to get us killed.)
Sora: WHY DID YOU DO THAT!?!? WHAT IF THEY FOUND OUT THAT WAS YOU!?!? Jou: Sora-kun, keep your voice down. Taichi: You worry too much, Sora. We're just data, y'know. Sora: TAICHI, YOU-- Jou: Sora-kun! Shhhh! Shhhhhh!!!
I really feel for Sora here. I get where Jou's coming from. See above, re: we're invisible, not inaudible. Screams echoing down the halls can easily give us away.
But so can these stunts Taichi keeps pulling. It's unfair for Jou to only be criticizing her and not Taichi. Rolled a 4 on his Senpai Check here.
In the dub:
Sora: You could have gotten us all captured back there, Tai! YOU'RE SO IMMATURE!!! Joe: Could you yell a little quieter, huh? Tai: Geeze, Sora. Relax, kid. Get a grip. Who elected you the Queen of the Fun Police? Sora: Yes, this is tons of fun. And getting caught will be a blast! Joe: Couldn't you just pass notes? It's much quieter.
As before, Joe comes off weaker in this scene because he's not team leader, and can only whine helplessly instead of shutting Sora down. His second line of whining, however, is hilarious. XD
The biggest shift here is Tai's line, which makes him look even more immature. They also cut his rationale for his behavior, but only to move it to the next scene. It's still here and still motivating him.
Tumblr media
The kids next come upon a deadly electric fence barring the path forward.
Tentomon: Is there electricity running through this, or is it juts me? Koushiro: The section that acts as a hidden entryway should only have harmless data in it. Jou: So that means everything else really is electrified? Gomamon: (teasing) You look scared, Jou. Jou: Of course I am! Taichi: You're too timid, Jou. So, where's the entrance? Koushiro: It's... There. (points) Taichi: Okay.
Without an ounce of hesitation, Taichi walks straight through the spot Koushiro pointed out on the deadly barrier, passing through harmlessly while everyone gasps in surprise. After a moment, he peeks back out and urges the rest to hurry up. One by one, they follow after while Sora visibly remains steaming.
Sora says nothing in this entire scene. She is biting her tongue because Senpai reprimanded her. But her fury is plain on her face every time she's in the shot.
In the dub:
Tentomon: This looks nasty! We don't have to go through here, do we? Izzy: This firewall is the system's last line of defense, but htere appears to be only one safe way through it. Joe: And if we pick the wrong way, they'll scoop us up with a spatula! Gomamon: (teasing) You're not scared, are you, Joe? Joe: Maybe I am, a little! Tai: Relax, it's not like we're human or anything. We're just bunches of kilobytes, remember? Izzy: The waypoint should be... Here. (points) Tai: Cool!
Here's where Tai's "No consequences because we're data" rationale comes in for the dub. We also have Izzy referring to the barrier as a firewall. Which is the implication in the original but I still like hearing him refer to everything here by computer terminology.
No indication is made in the dub for why Sora is suddenly silent but fuming. She just. Is.
The kids emerge at their destination: A chamber deep inside the pyramid with a glass cage containing their target.
Tumblr media
Koushiro: Here's our destination. Taichi: Here? Tentomon: That's... I'm pretty sure that's Nanomon. He's a very smart Digimon.
The dub takes this as an opportunity for some quipping.
Izzy: This is the host computer. Tai: Yowza. Do you think it comes with a CD-ROM drive or is that optional? Sora: And what do you suppose that thing is? Tentomon: I've heard of him. His name's Datamon.
They also add Sora's voice to this scene, but that's fine. She hadn't come out of the wall yet last we saw but she'll be there after the rundown, and talking again now that we're here. It's just Taichi's shittiness that she's being silent about.
From here, the narrator delivers his rundown. Nanomon is a Perfect-stage Virus-type Machine Digimon, so we'd better be sure we know what we're doing before we let him out.
Narrator: Nanomon. No matter what kind of computer system he's up against, he can rebuild it. A Perfect-Stage Machine Digimon.
Apparently he can't rebuild his way out of this cage, though.
In the dub, Tentomon does the honors.
Tentomon: A super-intelligent robotic Digimon. He disappeared without a trace some time ago. Nobody ever knew what happened to him.
It's weird that Tentomon would know that when he's never left File Island, but it was also weird in the original when he recognized Nanomon to begin with. Tentomon knows about Nanomon/Datamon somehow. It is what it is.
I think we've pretty much obsoleted "I don't know anything outside File Island" as a plot point.
Tumblr media
From here, Nanomon makes contact.
Sora: Could that Digimon be the one who sent the email? Nanomon: (appears on Koushiro's computer screen) That's exactly right, Chosen Children!
Koushiro glances over at Nanomon's physical body and sees that his right eye, the one that's an LED light, has a red light blinking in it.
Koushiro: I see. He's sending data directly through my IR port! Nanomon: I once fought Etemon long ago and was crushed. My broken body was sealed away here. On top of having my ability to formulate stolen, I was tasked with supervising Etemon's network system. One day, I recovered my memories and began to slowly repair my body in a way Etemon wouldn't notice. Soon I learned about everything happening outside, and I was able to meddle with that information. However, I need a considerable amount of outside help to release me from confinement. Sora: Do you really know where my Crest is? Nanomon: Of course! I know many things that Etemon himself has not even heard of. Jou: Can we really trust him? Nanomon: You and I share a common enemy in Etemon. You can trust me. Taichi: Okay then, what should we do? Nanomon: Follow my instructions.
This is Nanomon's critical importance to the story: He is the mainframe through which the Dark Network runs. In a sense, he is the Network.
Nanomon transmits directions for the kids to follow. Taichi pulls down a lever to open an access panel while Koushiro inputs the combination. All that's left to do is for Taichi to release the leaver; However, suddenly they hear a voice calling out.
Tumblr media
Etemon: Hold it! Taichi: Etemon! Etemon: Of course we'd catch you after everything you've done. We have surveillance cameras here, y'know!
The two Gazimon enter the room, both with bandaged faces.
Gazimon 1: How dare you-- Gazimon 2: --do that to us! Taichi: Whoops, they found out! Jou: BECAUSE OF YOU, TAICHI!!!
Correction: Taichi isn't going to get us killed. Taichi has already gotten us killed. My mistake. At least Jou finally berates Taichi for the shit he's been pulling instead of staying quiet. Vindication for Sora-kun.
Etemon then turns to momentarily adress Nanomon.
Etemon: My network's been acting funny ever since the children landed on this continent. That was you, wasn't it? Nanomon: I finished my maintenance just before the arrived.
Remember that weird glitch, where Etemon's data didn't update and sent him to some beach while they disembarked on a cliffside instead? Remember how SkullGreymon trashing the Coliseum somehow brought down the entire network all across the desert? All Nanomon. He's been sabotaging Etemon from the get-go.
In the dub:
Etemon: Hold it! Tai: Etemon! Etemon: I've been watchin' you on surveillance cameras and you're an annoying little brat, y'know that? (The Gazimon enter) Gazimon 1: Punk kid! Gazimon 2: Troublemaker! Tai: Hey, it's been my pleasure! Joe: Great, why don't you just call him ugly too. Etemon: And all this time, I thought it was those snot-nosed kids that were causing my network to go on the fritz! But it was you, wasn't it, you digital dumpster!? Datamon: Well, in a word: Yes.
Again, Joe is forced to whine instead of snap at Tai because he's not in the position of authority here.
I like Etemon calling Datamon a "digital dumpster", however. Rolls off the tongue nicely, especially in his Elvis voice.
After confirming this one point with Nanomon, the moment we've all been desperately trying to stave off finally arrives. Letting out a hideous battle cry, Etemon suddenly roars across the room to tear these children in half.
Tumblr media
We are absolutely no match for the Strongest in Konoyo. Self-proclaimed or not.
The kids keep nothing in reserve here. Greymon, Kabuterimon, Birdramon, and Ikkakumon all power up at once to hold back Etemon. They achieve nothing. Etemon lays Greymon out with a single punch. He grabs Ikkakumon by the horn, flinging him into Kabuterimon to take them both out.
Realizing they're going to die in seconds, Taichi yanks that lever up to finish opening Nanomon's prison. Nanomon goes straight to action, seizing control of the prison mechanism. The triangular panes of glass that had formed his prison now under his control, he sends them all at Etemon at once.
Tumblr media
Nanomon: See for yourself how strong you've made this prison!!!
Two panes strike the Gazimon, crushing them against the wall. One hits Birdramon, crushing her so severely she loses her form and reverts to Piyomon. And one goes for Etemon, which breaks easily against his unstoppable fist.
(I don't think Etemon is impressed by how strong he made that prison, Nanomon. What else you got?)
Taichi whirls on Nanomon for his friendly fire incident.
Taichi: What are you doing!? Nanomon: You are of no further use! Etemon: Hehehehehe.... That's the kind of guy Nanomon is. Nanomon: Enough blabber, PLUG BOMB!!!
The dub hits the same points but it's worth noting that Datamon's attitude is different.
Tai: HEY!!! We set you free! Datamon: And I do appreciate that, you chumps! Etemon: Dumb kids. Hehehehe, you'd think by now they'd learn to trust no one! Datamon: Trust me: This will hurt!
Datamon has the attitude of a maniacal fiend who feels he has the upper hand, while Nanomon is just screaming blood fury. Nanomon hit Birdramon because he's attacking indiscriminately. She was caught in crossfire. Nanomon will kill as many people as he has to as long as Etemon is one of them. While Datamon... may have done that on purpose? Unclear.
Nanomon unloads his signature attack Plug Bomb, opening his fingers into separate guns to fire little red bullet bees. Etemon counters with Dark Spirits, a fireball made of concentrated darkness energy.
Tumblr media
The dub doesn't give Datamon's attack a name. Etemon confusingly calls his "Dark Network!" even though that's. Not. What. That means. Okay.
Dark Spirits and Plug Bomb explode on impact, creating a blast that tears up through the desert sands outside. We cut briefly to Gabumon watching for the others' return from the sphinx's mouth.
Gabumon: YAMATO, LOOK!!! (Yamato and Mimi peek around Gabumon) Yamato: Huh? THEY'RE FIGHTING!!! Mimi: EH!?!?
It's at this point in the dub that we have the most baffling flub I have seen in the show yet. The lines are basically the same, but Mimi's reaction is cut and Matt's more sarcastic.
Gabumon: Uh-oh! Matt! Matt: Huh? Well, so much for no fighting!
However, overlaid on top of this dialogue are the voices of two random actual children, not any performer in the show. speaking at the same time as Gabumon and Matt in louder voices that drown them out.
Random Child 1: Biyomon, over here! Random Child 2: I'm coming!
What the actual fuck. At least now we have confirmation that they didn't bother doing second takes of anything. They weren't even giving the show a once-over before sending it to publication. Anybody would have caught this.
Was this localization done on a budget of $5? That's not a sarcastic question. It was an anime dub for a FOX cartoon show in the 90's. It's a very strong possibility that the project wasn't given much attention or funding. I'm not trying to be mean here. But. Wow. I had to google the Random Child Voices to make sure I wasn't hallucinating.
Back inside the pyramid, we find Sora at Piyomon's side trying to rouse her. Piyomon is unconscious and unmoving on the floor.
Tumblr media
Suddenly Nanomon slams into the wall overhead and crumples to the floor, tossed by Etemon.
Etemon: I win again. Nanomon: The only thing you're good at is fighting, you monkey!
Thinking quickly, Nanomon suddenly grabs Sora and Piyomon.
Nanomon: Once I use their true power, your defeat is certain!
Nanomon vanishes through an open door, dragging Sora and Piyomon with him. Etemon tries to pursue, but Greymon, Ikkakumon, and Kabuterimon form a barrier in front of him.
The kids slip through the door to chase down Nanomon while Etemon's busy ripping through their Digimon. The dub cuts a shot, just after they leave, of Greymon getting bodied again. Guess they wanted it to look more hopeful.
Tumblr media
The kids find the firewall standing in their way.
Sora: (echoing voice) TAICHI!!! Koushiro: He escaped through the hidden passageway! Taichi: I'm sure... That spot is where her voice came from.
Taichi starts to approach where he thinks the passage is, but Koushiro calls after him.
Koushiro: Wait! I have to verify the location first! Taichi: We're just data, remember? If I make a mistake, I'll just start over. Koushiro: PLEASE STOP!!! Jou: Got him!
Jou grabs Taichi suddenly from behind, restraining him from touching the firewall.
Taichi: Hey! Let go! Sora is-- Koushiro: Taichi-san, do you think that, just because you're data, you're free to act like a video game character!? Taichi: (stops resisting) ...am I wrong? Koushiro: COMPLETELY!!! It's exactly the same as if we were living and breathing here. If you die here, you'll really die. Taichi: ...what? That... that can't be....
Taichi turns and looks at the firewall once more, and now the peril becomes real for him.
Taichi: It can't be.... I didn't think I'd really die.... Jou: (releases him) Taichi.... Koushiro: The hidden passage is one meter to the right.
Not only can Taichi die. But if Jou hadn't grabbed him, he would have died. His guess was wrong.
Now understanding the danger of the firewall, Taichi freezes up. He can't bring himself to move.
Taichi: What... What's wrong with me? Sora's in danger!
No matter how he tries to motivate himself, his body simply won't move.
The dub plays all of this drama straight. The only gag they add is Jou's line when he grabs Taichi.
Joe: Pain still hurts, Tai!
They also move Izzy's reveal of the gap's location to an earlier shot with his back turned, when Tai's realizing the lethality of the fence. This is so they can extend Tai's reluctance and make it a group activity.
Izzy: The weak point is one meter to your right. Tai: No way... Just one false move and it's over.... Joe: (releases him) Hey, Tai.... Izzy: It's safe, Tai. We came through it alright on the way in. Tai: Yeah, but then I didn't know that.... Izzy: Go on! It's okay. Joe: Come on, Tai, we're wasting time! Sora needs us. What's wrong with you? Izzy: Tai?
Joe's last line is delivered so casually, it's like they're just talking about moving Sora's couch. What do you think is wrong with him, Joe? You can get these things from context!
As Taichi remains paralyzed with terror, time runs out.
Tumblr media
Etemon breaks down the wall using the three Partner Digimon that were fighting him in order to get inside the hidden passage.
Agumon: No good... Taichi... He's too strong for us.... Etemon: (turning towards the kids) Now that it's come to this, I'll start with you.
He doesn't get a chance. Garurumon and Togemon come through the ceiling, unloading Chiku Chiku Bang-Bang and Fox Fire before he knows what hit him. He easily shields himself from Garurumon's blue flames while Togemon's needles don't even faze him.
But it buys the kids a moment to escape, with Yamato physically grabbing the catatonic Taichi to force him to move.
Etemon: What!? This isn't enough to take me down-- Huh? Where did they go?
Etemon is left comically clueless. In the dub, he outright whines.
Etemon: No! Not again! They didn't get away again! Aww, I'm havin' an extremely bad day, bay-beh!
Poor Monkey Elvis. XD He should go sing a song to amuse himself.
It's not super clear which way they went but the camera fixes on the ceiling hole, so probably not the firewall.
The kids regroup on the far side of the shortcut passage, in the craggy valley where they made camp.
Tumblr media
While Yamato, Mimi, and Takeru are informed of what became of Sora, we close on this shot of Taichi weeping.
Yamato: I see. Sora and Piyomon were taken.... Taichi: Damn it... Damn it....
The dub's final exchange is a lot more verbose, with Matt shifted to being accusatory.
Matt: I don't get it. When the creep grabbed Sora and Biyomon, why didn't you go through the firewall after them!? Tai: I couldn't... I couldn't move.... Joe: It's not your fault. We're all in this together, Tai. We'll find a way to get them back. Tai: It's all my fault! Sora! It's all my fault!
But credit to the dub team for ending on a downer and not undercutting it in any way. This is a pleasant surprise after Mimi's Crest episode.
Assessment: As a fallible character who makes mistakes and has to learn from them, I love Taichi. But I am starting to see why Sora fell for Yamato instead, though that's still a few years off. Even if this was a video game, Taichi's behavior would be incredibly obnoxious to the rest of his party.
In his defense, he's 11. He can be forgiven for acting childish when he's literally a child. But he does have a lot of growing up to do. Which is, of course, what this two-parter is about. This is the setup for Taichi's payoff next episode. He has to fall before he can rise.
But it's also wearing kinda thin because we just did this. This is SkullGreymon again. Taichi acting like a reckless hotshot and making awful choices out of insecure overconfidence only to be brutally and horrifically humbled is something we already did only a few episodes ago. The reasons have changed but the behavior and story trajectory are the same.
But, repetitive Taichi aside, I love the way Etemon's Dark Network ultimately proves to be the centerpiece of this story. This makes it distinct from the way Devimon's Black Gears were used, always in a subordinate capacity. The reveal that Etemon's Dark Network has been purposely working against him all along is brilliant and pays off all of the episodes leading up to it.
Don't fuck with the IT guy.
Meanwhile, the dub of this one... has... random children dubbed over the characters. Like. There is nothing I can say that would possibly lay out the flaws in this one moreso than that. I don't actually give letter grades to these episodes except as a punchline, but even so this one gets an F.
It does have parts that I like, and I especially love the way Izzy describes moving through the pyramid as a hacking effort into a system. But it also has random children dubbed over the characters. Oh my god, watch your show one time before releasing it to publication.
16 notes · View notes
meandmyfandoms1230 · 1 month
Text
Inazuma 11/ digimon adventure AU where Taichi goes to raimon middle instead of Odaiba for one reason or another and helps Endou start the soccer club. This leads to the events of 02 happening while the events of og are going on causing so much chaos.
Some notable things from my brain are that Taichi is okay with Endou being captain because he has already saved the world/Japan like 3 times by now and does not want that pressure again. He's fine with being support and providing advice.
The first Teikoku match is when he gets called back to the digital world by agumon, it's why Gouenji needed to save the others. Some people are mad for a bit but they eventually calm down.
Taichi also keeps the coolest head whenever they go up against something crazy, a skill that come in handy espically against aliea ("digimon are basically aliens so...")
Also around Aliea is when raimon gets their digimon partners, for extra chaos and fun. I may-or-may-not have a basic list already.
Taichi's hissatsus that I've come up with so far consist of "Courageous Charge" a dribbling move to break past multiple opponents and "Terra Shot" a shot that coats the ball in high-temperature atmospheric energy and releases it towards the goal.
Also also, Ken and Daisuke join the team with Toramaru for the ffi. They have a combined shot called like "imperial lance" or something. Ken also has a move called "The Rocket"
10 notes · View notes
ultimatejunkofan · 15 days
Text
DANGANRONPA UDG SPOILERS AHEAD
Gonna be talking about sensetive topics to discuss this plot. Wont go into super detail but just thought i would throw this out here to start
Idk how to really start this, but I must get this written somehow, lol. Basically, I've been thinking about the plot of UDG and what message that it is trying to give off (whether it dies it right or wrong is another whoke thing on its own) but again back to rhe topic, what is the message it is trying to pull off.
Personally i think its suposed to be about generational trauma. It seems pretty obvious with how parents are so heavily focased on both wuth the absolute human garbage that are the warriers of hopes parebts and the info we get on Tokos "two mothers" situation. Then you have the better examples of parents with Taichi (chihiros father) and Hiroko (Yasahiros mother) plus how plot important Komaru/Makoto are. Its pretty obvious looking at it. UDG is about parents.
But thats not all, its also heavily about showing what effect parents can have on children, how the warriers of hope gave been turned into these monsters through constant abuse till they reached the point of breaking and snapped to the point of SUI (although manipulated into it to an extent they were still pushed beyond what even most humans can handle let alone kids). Its probably the worst that the game shows showing how vulnerable that abuse can make you and what it can twist you into.
I would say the stand out if the group would be of course Monaca who rather then becoming the victim of her abuse she went a step further and became the abuser, after seemingly years of abuse to the point she fakes a disability to escape it shows how absolutely vile what she was put through was but again she ends up carrying that abuse on and becomes the monster of the next generation using the warriers, other victims and continues to abuse rhem for her own goals going from victim to a similarly twisted abuser. Although manipulated to an extent by Junko she us shown to be as manipulaive before she meets her as she nakes the SUI pact before even meeting her showing off another side that abuse can have on someone.
The final victim of parental abuse would be Toko who shares her story with Komaru of her childhood, one of two children at a hospital one of which died but due to an incident nobody knew which mothers baby she was and due to both mothers refusing a blood test she ebded up bei g brought up with both mothers and tbe 1 shared father where she went through a variety of abuses that ebded up with her creating her otber side Genocide Jack/Syo, something she seemingky had next to no control of for a long time till the events of THH and UDG where she slowly kearns to control her other side, coming to terms with her own abuse with the support of Komaru and a few others shes shown as soneone recoveri g from the abuse she suffered although still showing their deep scars she us shown as someone who is starting to piece herself back together.
While thats the last of the chikdhood abuse it still diesnt end there, the last person showi g the events of prolonged abuse would be Komaru, across the events of UDG she is pushed to her absolute limits, probably the best showing of the mental tole danganronpa woukd really have on someone, starting as someone nievely optimistic she manages to drag herself across the whole city going through trauma after trauma till she finally thinks she has had her moment in the adult camp, giving hope to all these different people....just for that to lead to the whole brutal ending, all that stress on her back she just fully crumbles on her own. Showing the weight of that prolonged abuse across a long period, without Toko tbere that would probably have been it showing how people really need others to rely on in there darkest moments
Idk if i showed my point off amazingly kinda ranty but i had to write diwn my thoughts. Feel free to ask fir clarifications or share your own opinions definitely more then glad to discuss this more i have been thinking about it a lot. I might also add more to this myself lol but this is it for now.
And thanks if you actually read this far down through this mess
7 notes · View notes
jujumin-translates · 9 months
Text
Event | 5th Anniversary: The Way Back Home | Chapter 5 - Autumn Planet Story
Tumblr media
*Contains spoilers for Act 12 - eternal moment*
Izumi: Is everyone ready?
Juza: Yea.
Azami: Everyone’s hair and makeup is perfect too.
Omi: Wearing these costumes is always exciting, isn’t it?
Taichi: Ah! Mi-chan and Ma-kun are here!
Sakuya: We’ll have to show them how cool we are!
Sakyo: It’s not just those two, we need to show the entire audience the best play possible.
Banri: Damn right.
Banri: …Aight, let’s do this thing!
Tumblr media
Autumn Troupe & Sakuya: Yeah!
· • —– ٠ ✤ ٠ —– • ·
Izumi: (A few years before Saku leaves the ANIMS ship… This takes place before Dee received his code name “Doug”.)
Izumi: (Out of these crew members, only Dee and the oddball Saku don’t have code names.)
Izumi: (Ted, a childhood friend of Dee’s, was one of the first to receive his name, “Tig”, out of the crew members. This makes Dee even more impatient.)
Izumi: (Dee, frustrated with this, has an outburst at Tig’s father, the captain of the ship, Bear.)
Dee: “Why the hell do Rat and Bat get names, but not me!?”
Rat: “Sorry, Dee. We’re just ahead of you~.”
Bear: “Until you figure out the reason, you’ll always be half a man.”
Bat: “I mean, what’s the point of the code names anyway?”
Rat: “As part of ANIMS, getting your animal code name is a sign that you’re independent. I think you broke the record for being the youngest, Bat.”
Bat: “Huh.”
Rat: “That was the weakest reaction ever! Well, you are a certified communicator. No wonder you’re so sought after.”
Bat: “I mean, you got your code name almost as soon as you boarded the ship, didn’t you, Rat?”
Rat: “‘Cause I’m just that good~.”
Dee: “I could get certified if I wanted…”
Bear: “We already have enough communicators. If you want to be one, look elsewhere for work.”
Tumblr media
Dee: “Tch.”
Tig: “Dee, you haven’t cleaned the decks yet. Don’t make Saku do it all by himself.”
Dee: “Fuck off, I know!”
Dan: “Dee, I think you’re just snappin’ at Bear again.”
Dee: “Shut up. And if I am?”
Woll: “Bull’s-eye.”
Dan: “Guess I won that bet.”
Woll: “No helpin’ it. That’s a big win for you.”
Dee: “I ain’t a horse for you to bet on.”
Dee: “All of you treat me like I’m stupid. Pretty sure Saku and I are the only ones on the ship who don’t have code names.”
Saku: “What’s that about me?”
Dee: “Doesn’t it make you feel pathetic that we’re always just treated like we’re not really pirates?”
Saku: “I’ve never really thought about it… I guess I’m not necessarily unhappy with my current position or anything…”
Dee: “Tch, stop fucking joking about me bein’ on the same level as someone unreliable like that.”
Dee: “If none of you are gonna admit it, then I’ll make you admit it. I’ll make you admit that I’m a man worthy of ANIMS.”
Tig: “Dee, clean the decks.”
Dee: “Just fuck off, I’m doin’ it now!”
Tig: “Haah, what the hell?”
Bear: “He’s been like that ever since his father died. You’d better keep an eye on him.”
Tig: “Why me?”
Bear: “You already know why.”
Izumi: (Dee is getting increasingly frustrated with Woll and Dan teasing him. He’s much more childish and brazen than in the original.)
· • —– ٠ ✤ ٠ —– • ·
Dan: “That’s our target this time, Ralph’s ship.”
Bear: “Way to go, Dan. You’ve got us in a good position.”
Dee: “But I’ve got no clue what kinda things rich people like. Are we really goin’ to war with that ship?”
Tig: “It just for appearances. They don’t even have any weapons.”
Bear: “Alright, for our scouts--.”
Dee: “I’ll go.”
Bear: “Tig.”
Tig: “Roger that.”
Bear: “Dee, you’ll be with me.”
Tumblr media
Dee: “The fuck!? Why!?”
Tig: “Shut up. Obey the captain’s orders.”
Bear: “Rat, provide logistical support. Bat, stay with the ship and jam any rescue signals.”
Rat: “Aye aye, Sir.”
Bat: “Understood.”
Bear: “Everyone else, rush in as soon as Tig attacks.”
Woll: “Aye aye, Captain.”
Tig: “Don’t do anythin’ uncalled for, Dee.”
Dee: “Shut the fuck up.”
· • —– ٠ ✤ ٠ —– • ·
Izumi: (Dee, impatient to be successful, is the first to board the ship without listening to what the others are saying…)
Tig: “I’ll be goin’ soon.”
Rat: “Unit One is ready to-- wait, huh?”
Woll: “It’s already gone?”
Dan: “Huh, who’s on board?”
Tig: “That bastard-- Can I take Unit Two?”
Rat: “Uhm, yeah, probably.”
Dan: “Unit Three will be on standby, just in case.”
Tig: “Thanks.”
Tig: “Unit Two, launchin’ in three, two, one--.”
· • —– ٠ ✤ ٠ —– • ·
*Beeping*
Tig: “Dee! Stop actin’ so selfishly!”
Dee: “Just shut up! I’m way better at handlin’ these guys than you are! Stay outta my way!”
Tumblr media
Tig: “That ain’t the point! This is why you’ll always be half a man!”
Dee: “Who you callin’ half a man!? Just shut up and watch me!”
*Lazers firing*
Ralph: “!? W-What was that!?”
Subordinate: “Pirates!”
*Explosion*
Ralph: “H-Hurry up and do something quickly!”
Dee: “Hah! Perfect. Nowhere to run. Now all I’ve gotta do is get in and--.”
Tig: “Dee! Three planes incomin’ at two o’clock!”
Dee: “The hell? I thought they didn’t have any weapons. I never heard anythin’ about there bein’ an escort.”
Dee: “Whatever, I’ll deal with the three planes myself.”
*Lazers firing*
Tig: “Dee, don’t go alone!”
Dee: “I’ve got it under control.”
*Explosion*
Dee: “Aight, one down. Now for the rest--.”
*Explosion*
Tig: “Dee!”
Dee: “--Gh.”
*Beeping*
Dan: “Coming in on Unit Three for backup.”
Dee: “Dan!? What are you doin’--?”
*Beeping*
Rat: “Likewise, I’ll cover you, please rescue Dee, Tig!”
Tig: “Roger that.”
Dee: “--Shit, now everyone’s involved, this is bad.”
Izumi: (And as a result, ANIMS is forced to withdraw…)
· • —– ٠ ✤ ٠ —– • ·
Bear: “Let’s regroup and take off at once.”
Bat: “Aye aye, Sir.”
Woll: “Glad you’re safe, Dee.”
Tig: “How’s the condition of Unit One?”
Rat: “Dee did a good job of doging, so I don’t think it’s in too bad condition.”
Bear: “Dee, your selfish actions put the whole crew in danger. Are you aware of that?”
Tumblr media
Dee: “--Yes.”
Bear: “Your father, Bull, was honestly one of the worst leaders I’ve ever seen.”
Bear: “He was the first to jump into danger, and his first priority was always protecting his comrades by bringing up the rear.”
Bear: “‘After I’m gone, you’ll still be here, Bear. Dee will have you, so I don’t have to worry.’ That’s what he used to say.”
Bear: “Every day I stood next to him as his second-in-command made my anxious as all hell, but I was proud to be there.”
Bear: “Dee, think it over again as to why you’re not recognized as a full man.”
Bear: “You have to be aware and responsible if you ever want to lead ANIMS as captain one day.”
Dee: “Me…?”
Tig: “Why are you surprised? Hasn’t this always been your plan? You’ve been sayin’ that you wanted to be captain ever since we were kids.”
Rat: “Well, but you’re still just half a man right now!”
Dan: “At this rate, you won’t get your code name and become captain until you’re an old man.”
Bat: “And no one wants a captain like that.”
Woll: “Haha. You’re gonna have to really work at it.”
Dee: “Guys… I’m really sorry.”
Dan: “He apologized. Seems like I’ve lost.”
Woll: “And I guess that means I’ve won this time.”
Dee: “You place bets on shit like that too!?”
Izumi: (Dee’s comrades follow after him. Their tone is joking, but you can feel their belief in Dee and their encouragement.)
· • —– ٠ ✤ ٠ —– • ·
Rat: “Huh? What about Saku?”
Bat: “He said he had stuff to carry. They brought him along to the captains’ drinking party or something.”
Rat: “I’m so jealous. I wish I could’ve gone too.”
Dan: “Well, there’s no helpin’ it. We can’t celebrate the spoils of war right at this moment.”
Rat: “That’s dumb.”
Dee: “He said he was sorry.”
Rat: “He wasn’t trying to be disagreeable or anything.”
Dan: “Stop pouting.”
Dee: “I ain’t poutin’.”
Bat: “Huh? Isn’t that Ralph’s ship over there?”
Dan: “I didn’t see anythin’ about them comin’ into port around here, though.”
Woll: “Maybe it’s because of the incident the other day.”
Dee: “‘Bout damn time.”
Tig: “Oi, you’re not plannin’ on boardin’ again, are you?”
Dee: “They came from way far away. Can’t pass up that kinda opportunity. If they come into port, we’ve got ourselves an openin’. We’ll be able to pull it off no problem.”
Tig: “You haven’t learned your lesson at all.”
Rat: “That’s our Dee!”
Dan: “It’s true, we’ve got a chance. I’m in.”
Woll: “Same here. Not like I’ve got anything better to do.”
Bat: “Ralph and his crew are gonna be comin’ in soon. If you want your chance, go as soon as they do.”
Dee: “Ya already intercepted ‘em? That was fast work.”
Rat: “I’m gettin’ hella hyped!”
Dee: “We go at 22:00. I’ll board first.”
Tumblr media
Tig: “I’ll go too. We learned out lesson last time.”
Dee: “Permitted. When we give the signal, the rest of y’all board too. Rat will be in charge of logistical support and diversion.”
Rat: “Aye aye, Sir!”
Izumi: (The members of ANIMS are filled with excitement. Their tone of voice and facial expressions really show off their nature as pirates, as if they’re enjoying the roughness of the plan.)
· • —– ٠ ✤ ٠ —– • ·
Dee: “Looks like they’re short of hands. Perfect.”
Tig: “You haven’t reflected at all, have you, Dee?”
Dee: “I have. That’s why I brought you along.”
Tig: “Haah. How the hell am I supposed to support somethin’ like this…”
Dee: “It’s time. Let’s go.”
Tig: “Understood.”
Tumblr media
Dee: “Pardon us!”
Guard: “Who the hell are you!?”
Dee: “We had to leave early the other day, so we’d thought we’d pop in to say hello again.”
Guard: “Oi, someone, come here! There’s pirates!”
Dee: “Tig, the signal.”
Rat: “Oh, it’s time, it’s time.”
Woll: “We’re gonna have to kick it up a notch from last time.”
Dan: “We’ll have this over with before the captain can even find out.”
*Lazers firing*
Izumi: (ANIMS acts as violently as they want. It really shows off the true spirit of Autumn Troupe.)
Izumi: (Banri-kun’s leadership skills are put to good use for Dee’s performance, plus the action scenes with everyone are also very impressive.)
· • —– ٠ ✤ ٠ —– • ·
Bear: “So, what’s the meaning of this?”
Dee: “As future captain, I’ve gotta make sure I finish the job I messed up on.”
Dee: “Just so you know, this was my decision, so the other guys ain’t responsible.”
Bear: “God… Dee, from this day on, you’ll be known as Doug.”
Tumblr media
Doug: “Huh?”
Bear: “And you’re not a future captain. From today on, you’re the captain. So pull yourself together.”
Doug: “Are you for real?”
Bear: “Tig, you’ll support Doug as second in command.”
Tig: “No avoidin’ it, I guess.”
Bear: “That’s a weight off my shoulders.”
Bat: “Oi, the Space Police are headed this way.”
Dan: “If we don’t hurry, the port will be put into lockdown.”
Doug: “Aight, y’all, get ready now. We’re gettin’ the hell outta here!”
Rat: “Aye aye, Sir!”
Izumi: (Now given his code name, his determination to live as Captain Doug of ANIMS is reaffirmed…)
Izumi: (The universe of the space performance was further expanded by seeing the past stories of ANIMS.)
Izumi: (The audience seems to be thrilled to get to know the activities and the growth of Doug and the others, which differs from the main story.)
Izumi: (Autumn Troupe’s spin off performance was a huge success!)
· • —– ٠ ✤ ٠ —– • ·
*Applause*
Sakuya: Thank you very much!
Omi: Thank you.
Juza: Thanks.
Azami: Thanks so much.
Sakyo: Thank you very much.
Taichi: Thank you!
Tumblr media
Banri: Thank you so much!
[ ⇠ Previous Part ] • [ Next Part ⇢ ]
23 notes · View notes
izzyizumi · 2 years
Photo
Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media
Digimon Adventure tri. ~ (J.P.N Version) featuring Characters: Taichi Yagami & Meiko Mochizuki ~ Moments of Support [+realization] ~ Important QUOTES
“I MEAN that you’re a {GOOD} person.” - Meiko
My Commentary:
I am compiling this for my personal “Taichi Support Event” project; So, this is mainly intended as Taichi-focus and Positivity, more than anything, but Please be respectful if you choose to interact on this post, Thank You.
Tumblr media Tumblr media
{Additional note: this post is mainly intended AS FRIENDSHIP. Technically, it can also be read as semi-canon Compliant; regardless, please remember + RESPECT this when interacting} {Failure to acknowledge interacting rules WILL result in a block}
Tumblr media
[Note: commenting/tagging positively/respectfully is ok!] (Please AGREE TO BANNERS BEFORE interacting) (Note: click on the banners to enlarge!)
Tumblr media
17 notes · View notes
firstagent · 11 months
Text
Spoiler-Free Review: Digimon Adventure 02 The Beginning [Sub]
Tumblr media
In this movie, one more troubled relationship between one more kid and their partner threatens the world one more time. The Zero Two kids help… a little.
As much as Last Evolution Kizuna’s message spoke of our need to be able to let go of our childhood fancies, and as much as it would have been an appropriate final bow to the original Adventure canon, it also proved that this world is still capable of turning out some wonderful, thought-provoking stories. Especially when given the kind of budget this movie relishes in throughout. Before we tiptoe around major plot points without actually revealing them, it must be said that The Beginning looks gorgeous. The big action is animated beautifully and minor details in the art go far in supporting the story. It’s important because the movie is all about quality. Quality above distracting subplots, quality above unnecessary fights, and—perhaps more concerning—quality above cherished Digimon Adventure establishments.
The first sign of the movie’s lack of concern about bending the knee to prior Adventure canon: this is 100 percent Lui’s story. If you’re expecting the Zero Two kids to have some deep character growth the way Taichi did in Kizuna… well, it’s the Zero Two kids; half of them didn’t get that in their own season. No, this is all the Lui and Ukkomon show. After tri. and Kizuna you might be thinking “isn’t this the third time now we’ve been introduced to a new character whose partnership issues are a harbinger for chaos?” The answer to that is no: it’s the fourth time if you count Wallace in Hurricane Touchdown. But while tri.’s format allowed the established cast to have full character arcs alongside Meiko’s and Kizuna was still fundamentally about Taichi dealing with the problems Menoa creates, The Beginning is too compact and too tightly wound to give anyone but Lui room for growth.
That’s not a bad thing though! In a relatively short time, we get all the gory details of Lui’s past, where Ukkomon fits into the equation, how things go wrong, why it’s everyone’s problem, and how Lui fixes it. It’s told vividly, and viscerally at times: some of the story is straight-up disturbing and the movie understands the importance of not shying away from it. These are some horrors that would bother even the Ghost Game kids. The facts of Lui’s story aren’t particularly complicated—it’s a surprisingly simple story for a feature-length film—but they’re presented with a nuance that hits the upper echelon of what Digimon has ever been capable of.
Still, it does leave the Zero Two characters a little in the lurch. Character moments are sprinkled in whenever they can get them and everybody’s heard in group conversations (sometimes even making a good point!), but some feel like they’re only there because they have to be. Until the fade out at the end, there honestly isn’t much more of the Zero Two kids being Zero Two kids than we saw in Kizuna. Anyone longing to see these kids tackling their own problems instead of someone else’s will be left hanging. That said, the revamped evolution sequences are fire, we’re still treated to Target and Beat Hit, and there’s even a couple teases for the shippers. And the ending is absolutely a “Zero Two kids being the Zero Two kids” moment.
But yes, one of the trickier conversations will certainly regard The Beginning’s relationship with Adventure canon. On one hand, Lui’s situation suggests a conflict with Adventure and tri., and some could interpret the resolution as incompatible with particular aspects of the epilogue. On the other hand, none of the issues are in any way major, and countered both by references to events in Adventure and tri., and the fact that everybody is still barreling straight toward their epilogue fates. Weirdly, the most dubious point of contention may be with Kizuna. Any direct discrepancies are again insignificant, but the revelations of the prior movie feel like they should weigh more on some minds as they process everything going on here.
To its credit, The Beginning seems fully aware of what it’s doing. It understands how much it’s potentially shaking things up. The characters recognize it! The movie delivers on the things that really matter: the kids, the Digimon, the music, and the heart. Things like adherence to an increasingly rigid and cluttered timeline are more superfluous. It doesn’t dismiss anything for the sake of dismissing it, but it’s not going to let it get in the way of a good story. Even as the kids dutifully stay on track for the epilogue, the ending narration suggests that anything goes from here. We may find out: unlike Kizuna’s sense of finality, The Beginning builds momentum for more stories in whatever interpretation of this world grows them best. If they’re anything like this one, we’ll welcome them.
My Grade: A
Check Back November 10 For the Spoilers/Dub Post!
Thanks to Toei Animation for providing me with an advance screener of this movie.
Want to support my site and/or my work? Buy me a coffee!
32 notes · View notes
seventeenlovesthree · 11 months
Text
Tumblr media Tumblr media
@taikouvember Day 2: Past & Future
As mentioned in one of my many story ideas; I still think it's a missed opportunity on Toei's behalf not to give Digimon the Dragon Ball/Sailor Moon treatment of having future children travel back in time to support their parents to prevent devastating events, so... Since time traveling at least appears to be a thing in the Digimon universe now, I am convinced that, if ANY kid was capable of making it work, it'd be Koushirou's daughter. So in an ideal world... She'd definitely help her dad(s) to come to the right conclusions. Either way, Taichi would be protective af and Koushirou - despite the current situation being more than a little confusing - may start to consider one or another potential version of the future to work hard for. Even though their relationship may still be in its early stages at this point in time, there may be moments of serenity and calm. And a little family bonding as well...
82 notes · View notes
asordinaryppl · 1 month
Text
A3! Main Story: Part 4 - Act 15: PAINFUL RE:BAKE - Episode 6: Breaking Out Of The Shell
Tumblr media
Taichi: – Then, in that case, there’s something I’ve always wanted to try achieving!
Taichi: I… wanna try my hand at a lead role outside our company.
Taichi: I was able to stand proudly as the lead for Mantou Fist because I had all of you supporting me.
Taichi: But if I wanna work on my “individual growth”, I have to aim for something beyond that.
Taichi: I want to play the lead without the Autumn Troupe to support me, so that Taichi Nanao the actor will evolve and gain confidence.
Taichi: And also… I wanna try facing the world I once gave up on head-on again.
Azami: The world you once gave up on…?
Taichi: I didn’t have much luck as a child actor, so I wanna try doing TV dramas and movies one more time!
Sakyo: So, the film industry, huh…
Taichi: I was beaten down by the overwhelming presence of Ten-chan, who’s the same age as me, and gave up on the idea of ever becoming anything like him…
Taichi: But now that Ten-chan recognizes me as his equal and rival, I might be able to do something.
Taichi: I want to try to overcome this wall that’s existed before me for so long, improve as an actor, and contribute to our troupe!
Taichi: … Thaaat might be all talk though, ‘cause I’ve got no idea where to start from.
Banri: If you’re aimin’ for an audition, how ‘bout asking Tenma?
Banri: Generally speakin’, rather than auditioning for ‘em, leading roles in the film industry are offered to actors. The Sumeragi Agency might have some connections, though.
Banri: That’s how I got the offer for Handsome Detective, too.
Taichi: Oh, that’s true!
Juza: Handsome Detective… That was damn good.
Banri: Shaddup.
Taichi: Alriiighty! I’ll give consulting Ten-chan a try!
Azami: If that’s what we’re doing, I also got something I’d like to try.
Sakyo: I also have something I’ve been thinkin’ of challenging for a while now.
Omi: …
Taichi: Omi-kun? Are you okay?
Omi: Yeah– I need to find something to challenge myself with, like you all.
Omi: (I can't stop thinking about that that incident… I need to focus on myself.)
Banri: Then, with that said, we can split for–
Sakyo: I’ve got a suggestion I want to make.
Sakyo: Taking on challenges separately is all well and good, but we have the sequel to think about too. If we want people to come watch us perform live and on stream, we’ll have to raise our popularity as a group.
Sakyo: It’d be good for us to find something we can do as a whole, as the Autumn Troupe, in our spare time.
Taichi: Like what…?
Juza: Something like the Spring Troupe’s beginners workshop?
Sakyo: Yeah.
Azami: Lots of people participated in that, not only fans, but also people from all different walks of life. I’m sure it was a good opportunity for them to gain an interest in theater.
Juza: Should we do that too?
Banri: Nah, havin’ a bunch of rough-looking guys hold a beginners’ workshop would be crazy… We’d scare the participants off.
Azami: It’d probably be better if it had something to do with one of the Autumn Troupe’s strong suits.
Juza: Strong suits… Action?
Taichi: I see! That way, we’ll also be able to teach the basics! Sounds good!
Sakyo: We can get Yuzo-san to supervise the training menu.
Banri: We’ll need to speed things up if we’re goin’ to put a plan together and settle on it before our performance…
Omi: How about leaving the management details to me, then?
Omi: I did some planning and event management back when I worked for the photography studio.
Omi: And a while ago, Zen-san told me...
-
[Flashback starts]
Zen: If you guys want to use the space for any action-oriented practice, all you gotta do is let me know.
Zen: I’ll lend it to you whenever it’s available. Let your Director know too.
[Flashback ends]
-
Omi: So I can contact him as soon as possible.
Taichi: What good timing!
Sakyo: He’s as soft on us new guys as always.
Banri: I’ll leave that up to you, then.
previous episode | masterpost | next episode
5 notes · View notes
yurayura-kurage · 1 year
Text
A3! Troupe Event: MY WORST WEDDING | Event Story Translation (4/11)
Tumblr media
Neither Japanese nor English is my first language so please forgive me if I made mistake. However, feel free to point me out, I’d love to hear your feedbacks on the translation ˶ᵔ ᵕ ᵔ˶
Translation under the cut
.・。.・゜✭・.・✫・゜・。.
Azami: Fuah~...
Tumblr media
Kumon: Did you not sleep well?
Azami: I had a bit trouble falling asleep. I couldn’t tell Sakyo not to stay up late working on his stuff.
Kumon: Come to think of it, Sakyo-san’s sister came to our dorm yesterday right~. Did something happen?
Azami: Seems like she came to consult about Sakyo’s mother.
Kumon: Heh~. Sakyo-san’s mother is such a kind person, isn’t she!
Azami: You know her?
Kumon: She is nii-chan’s fan and she’s been supporting him all the time!
Azami: Ah–, is that so.
Kumon: I think she’ll come to Autumn Troupe’s next performance.
Azami: Now that you mention it, I’ve been thinking a lot ‘bout Juza-san’s hair makeup this time…
Kumon: Ah–! Wait! No spoilers here!
Azami: Hah?
Kumon: He’s a former soldier this time, so it’ll def be really cool... 
I want to know, but I want to challenge myself without knowing anything until the performance starts…!
Azami: That’s impossible. We’re in the same dorm after all.
Kumon: Still no spoilers anyhow!
Tumblr media
Azami: Well, I haven’t decided on Juza-san's hair makeup yet. Only Taichi-san’s role Olivia has costume coordination today.
Kumon: Ah, Taichi-san’s role is the woman who deceives the grooms right? I’m really looking forward to it!
*Shifts to practice room*
Izumi: Taichi-kun, it looks good on you! The impression has drastically changed.
Taichi: Heheh, I’ve turned into a perfect beautiful woman!
Tumblr media
Yuki: It’s not that bad, is it.
Banri: Heh~, Olivia’s hair is purple, huh.
Azami: I’ve thought about it a lot, but this will suit the scene when she appears in the story. 
The makeup also matches the color of the wig though, this looks fine. 
Then, I’ll remove the makeup after the photoshoot, so Banri-san, please try doing it this time.
Banri: Roger that.
*Short timeskip*
Azami: Make the line a bit thicker here–– About this much.
Banri: …It’s done.
Tumblr media
Azami: As expected of Banri-san, your sense is good. 
Taichi: Oh~, it’s exactly the same as before!
Banri: When I actually tried Azami’s makeup, I can see that you think a lot about various things in detail. That’s awesome. 
Azami: Obviously.
Banri: Well, but I only did the makeup just like the sample photo, so once I get used to it, I think I’ll be able to speed up even faster right before actual performance.
Yuki: And the rest are the costumes that go well with this hair makeup but— I bring lots of outfits for you guys to base on.
Izumi: This time main focus is the hair makeup, so the costumes will be later.
Yuki: First of all, this and this, try adjusting the sweatsuit lightly. 
Taichi: ——How is it!?
Yuki: Your legs are so masculine!
Tumblr media
Izumi: Zero’s costume was the one with the legs out right.
Yuki: Zero’s lively personality matched with the vibe Taichi’s legs give off, but the image this time is a bit different. 
If they are put out as usual, it’ll definitely look manlike. The makeup will be mismatched and out of place.
Taichi: I’ll lose my muscles before the performance~.
Banri: Building muscles might be within your reach, but isn’t it impossible to lose them?
Taichi: Uh, maybe if I try not to use them as much as possible…? 
Azami: You might not be able to move your body when you’re Noah.
Taichi: Ah~ That’s right!
Yuki: I’m not even asking for you to do something in particular. Just don’t gain any more muscles.
Since I’ll style the costumes according to Taichi’s body shape to match the hair makeup. Tighten his waist with a corset for example… 
I think it might work if we cover his broad shoulders with a jacket, and show his skin with knee-high boots.
Izumi: That sounds great!
Taichi: As expected of Yuki-chan!
Tumblr media
Yuki: Even if you only show the very least of your skin, I think the key here is that you can create a sexy look of the wicked woman. 
Banri: I see… So there are various ways.
Azami: It’s also the same with different skin qualities though, it’s difficult to match because there are differences between men and women’s body shapes.
Yuki: It’s worth thinking about how to style the costumes for each roles considering actors’ body type anyway, I’m even more fired up. 
Izumi: That’s the best part of being a costume designer, right.
Yuki: Taichi too, if you perform on stage in the costumes that are fit into your body, you’ll be able to act with confidence, won’t you.
Taichi: Yuki-chan!!
Banri: Our costume designer is really reliable.
Azami: (You’ll be more confident if wearing outfits that look good on you…)
Izumi: Well then, I’m looking forward to the costumes too.
Yuki: Leave it to me.
Azami: …Yuki-san, there’s something I’d like to talk to you about.
Tumblr media
Yuki: ?
.・。.・゜✭・.・✫・゜・。.
Previous || Next
21 notes · View notes