Tumgik
#create_clock
mft-toyama · 5 years
Photo
Tumblr media
via @create_clock
心ある機械たちagain(横浜) 「不測の事態」がなかなか楽しい。展示台にコインを入れるようになっているのだが、入れるとどう見ても「ある大変な事」が起きそうだし壁には「お手を触れないで」と大きく書いてある。コインを入れるか入れないか結構悩んでしまった。 pic.twitter.com/1qvCuwjeoC
— 前骨格のSUZUKIさん@メイカーフェアバンコク予定 (@create_clock) January 6, 2020
0 notes
hoory · 6 years
Photo
Tumblr media
RT @create_clock: 藝大卒展ですごかったのはこれ!八方向からのボールがぶつからずにすり抜けていく。ハードが出来上がってから4ヶ月ひたすら調整に努めたそう。タカハのソレノイドコンテストに応募したらダントツで優勝かな https://t.co/xXx8to60hp
2 notes · View notes
rabbitboy · 6 years
Quote
三菱重工では結構昔からタチコマのような多脚ロボットの研究が進められていたらしいが秘密主義を貫いたおかげで世間に知られることもなく予算も尽きてそのままお蔵入り、世界に追い抜かれてしまったみたいな話は日本的過ぎてちょっといやになるな
Twitter / create_clock
12 notes · View notes
awsexchage · 5 years
Photo
Tumblr media
「つくった展 2019」に行ってきました https://ift.tt/2Qi95Yn
先日開催された「Maker Faire Tokyo 2019」の余韻が残る中、いつもArduinoファンもくもく会の会場としてお借りしているYahoo! LODGEにて「つくる」をキーワードにした”ものづくり”の展示会「つくった展 2019」が開催されました。
様々な展示に加えLTやライブコーディング���ど盛りだくさんの内容で、とても贅沢なイベントでした。
主催のYahoo!さんや出展者・参加者のみなさんがTwitterで多数つぶやいているのでハッシュタグ#つくった展を追ってみるとイベントの熱気が伝わると思います。
僕も各所で話に花が咲いて少ししかツイートできませんでしたが、全ブースの出展者の情報(Twitterアカウント/Facebookグループ/Webサイト等)を写真や動画とともにご紹介します。
気になる出展者がいればぜひチェックしてみて下さい。
ブース01 カサネタリウム (@kasanetarium)
カサネタリウム!(@kasanetarium)#つくった展 pic.twitter.com/rC2VEjXQIG
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース02 オノデランド (@SAMonodera)
#つくった展 始まりました。#obniz と #タミヤ 1/35戦車模型を使った「シェアリングRC」というネタで展示中です╰(*´︶`*)╯♡ pic.twitter.com/U7xmLWF9Ne
— Sam // つくった展2019 ブース番号:2 (@SAMonodera) August 9, 2019
ブース03 ハードRPA (@mysai)
ソフトウェアであるRPAをハードウェア化した斬新な展示。
ブース04 食塩出現 (@solt9029)
グラスをぶつけなくても音がなるやつ。実際にぶつけるより良い音! #つくった展 pic.twitter.com/nzhJF5g2S6
— ミクミンP/Kazuhiro Sasao (@ksasao) August 9, 2019
ブース05 necobit (@necobitter)
自動演奏鍵盤ハーモニカ(仮称)製作の @necobitter さん。
懐かしくていい音色!#つくった展 pic.twitter.com/Pacm4JfYeK
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース06 抽象的電子工作 (@crispytaffy)
抽象的電子工作#tabeg#つくった展 pic.twitter.com/DUvBNX5k3j
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース07 (欠番)
ブース08 Paper Prototyping+ (KORI PORTFOLIO)
Peper Prototyping+のKORIさん。
紙を使って手軽にプロトタイピングできるそう!
アイデアをすぐに形にできるのがいいですね。https://t.co/DDoc2oLY39#つくった展 pic.twitter.com/LQpLer9LCJ
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース09 ベランダラボ (@Akiyah)
これこうやって作るのか! (左から) #つくった展 pic.twitter.com/Vg73EKy8S2
— ミクミンP/Kazuhiro Sasao (@ksasao) August 9, 2019
ブース10 (欠番)
ブース11 ajimitei labo. (@ajimitei)
メイカー(がダメージを受ける)かるた #つくった展 pic.twitter.com/2dJ68zvy2V
— ミクミンP/Kazuhiro Sasao (@ksasao) August 9, 2019
ブース12 浜離宮Brick Club (Facebookページ)
浜離宮Brick Clubhttps://t.co/5lB0cwl4sX#つくった展 pic.twitter.com/zSpb3YC3Y4
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース13 LODGE Fabチューター
LODGE Fabチューター#つくった展 pic.twitter.com/WkC0LkKc81
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース14 若狭企画 (@wks)
「かみの掲示」#つくった展 pic.twitter.com/dzdVrb2ZiQ
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース15 GAN-MEN
ヤバいものが
#つくった展 pic.twitter.com/L7tDxaeCa2
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブース16 クラッピーシンドローム
手前のLookingGlassでリアルに鉄琴を叩くやつは後ろのぶら下がり健康器でゴムで釣り上げられた人と連動していて、ちっちゃいユニティちゃんになって巨大なバチを叩くVRが体験できる。Unity2つを連動。すごい。 #つくった展 pic.twitter.com/DPriV2sSJm
— ミクミンP/Kazuhiro Sasao (@ksasao) August 9, 2019
ブース17 carcon999(@carcon999)
見ていただいた皆様ありがとうございました。小学生のお子様が気に入ってくれたようで繰り返し遊びに来てくれるのは、こっちも素直にうれしい。でもドローンには負けた。 #つくった展 pic.twitter.com/Czf3xa6WPS
— carcon999@つくった展だった (@carcon999) August 9, 2019
ブース18 make部
ヤフー有志の #make部 の展示も盛りだくさんでした。ECHO-Nかわいいって言ってもらえたの嬉しい!どんどん改造しちゃうぞ。#つくった展 pic.twitter.com/d1FkRnBgnn
— Chie-chan @8/9 つくった展 & 8/12 C96 南リ02b (@crispytaffy) August 9, 2019
ブースA1 omicro (@tichise)
「omicro」
#つくった展 pic.twitter.com/Ss8XhmXMoh
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブースA2 Aチーム (@sam_akada)
スマートスピーカー対応機器を自作するワークショップ #1
ブースA3 ウズキアオバ (@uzuki_aoba)
#つくった展 @uzuki_aoba さんに光造形について色々教えていただいた! pic.twitter.com/DE9Vol66go
— ミクミンP/Kazuhiro Sasao (@ksasao) August 9, 2019
ブースA4 透ける!前骨格ロボッ(@create_clock)
さっそく前骨格ロボットの @create_clock さんが!#つくった展 pic.twitter.com/KURO1GUV1h
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブースB1 SPRESENSE USER GROUP (Facebookグループ)
#SPRESENSE ユーザグループhttps://t.co/xF4dW8kzrt#つくった展 pic.twitter.com/zetTSLd7WE
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブースB2 蛍光アンサンブル (@yamac)
アーティスト伊東篤弘さんの蛍光灯ベースの音具「Optron」を元に独自のアレンジを加えた作品。
ブースB3 神田ラボ (Facebookグループ)
神田ラボさんの展示。#パトランプ のハッシュタグでつぶやくとIFTTT > Webサーバ > M5Stack 経由でパトランプが光るそう!
#つくった展 pic.twitter.com/BALqmrBXYs
— Arduinoファン@8/25(日)もくもく会開催 (@arduinofun_jp) August 9, 2019
ブースB4 新里祐教 (@hirotakaster)
なう #つくった展 pic.twitter.com/Sal25B8pd3
— Hirotaka Niisato (@hirotakaster) August 9, 2019
新里祐教のIoT開発キットで遊ぼう! (日経 xTECH)
おまけ Yahoo! JAPAN Hack Day (@hackdayjp)
アルパカ風恐竜があったり、大仏ミートアップが厳かに開催されていたり、意味不明で最高!
\みんなのツイート読むよ!/#つくった展 だからつくった物を..ってことで急遽これ置いてみました。タカラトミーさんの #ゾイド 改造コンテストに出したやつ!
恐竜がアルパカ風になり、音声合成が組み込まれています。おかしい。 (今回は #つくるってたのしいね に反応します)#RaspberryPi pic.twitter.com/3EEawBo0Sr
— Hack Day(ヤフー)9/2〜ハッカソン出場募集開始! (@hackdayjp) August 9, 2019
#大仏ミートアップ
見に来たら..大仏が増えている..!持ってきてくれたみなさんこんばんわ!#つくった展 pic.twitter.com/MVeeHZi58x
— Hack Day(ヤフー)9/2〜ハッカソン出場募集開始! (@hackdayjp) August 9, 2019
Yahoo! JAPAN Hack Day 2019
Yahoo! LODGEではこの他にも様々なイベントが日々開催されていますので、興味のあるかたはぜひ公式サイトをチェックしてみて下さい。
関連資料・記事
「Maker Faire Tokyo 2019」に行ってきました Arduinoファンもくもく会利用スペース + 参加者関連情報
元記事はこちら
「「つくった展 2019」に行ってきました」
September 18, 2019 at 12:00PM
0 notes
gooddayforcompu · 6 years
Link
藝大卒展ですごかったのはこれ!八方向からのボールがぶつからずにすり抜けていく。ハードが出来上がってから4ヶ月ひたすら調整に努めたそう。タカハのソレノイドコンテストに応募したらダントツで優勝かな on PCompu
0 notes
bigfacebox · 6 years
Link
0 notes
myxilinx · 6 years
Text
Tumblr media Tumblr media
The reason it is shown as unsafe is that clkA and clkB donot have common primary clock (tool is assuming that clkA and clkB are entering from different ports).
 From your description it looks like you are creating clocks on MMCM outputs. By default the tool considers the MMCM generated clocks to be in synchronous with input clock. You need not write constraints for this.
 The create_clock is used to define primary clocks.
 If you want to re-name the MMCM generated clock you can use create_generated_clock constraint. 
 For details on create_generated_clock refer to generated clocks page-60 of
 http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_1/ug903-vivado-using-constraints.pdf
0 notes
mft-toyama · 5 years
Photo
Tumblr media
via @create_clock
巨大Looking Glass8k これは凄い! 超リアルな立体感。右のが従来のヤツなのでデカいよ。#DCEXPO pic.twitter.com/FvDGPKJw28
— その辺の人@メイカーフェア深セン楽しかった (@create_clock) November 13, 2019
0 notes
mft-toyama · 6 years
Photo
Tumblr media
via @create_clock
未来の学校祭 ギリギリ(東京ミッドタウン) シャボン玉が10分以上も存在して、空中に静止する、という「The long now」シャボン玉の概念が変わった!! pic.twitter.com/Kn6VpF3lfG
— その辺の人 (@create_clock) February 21, 2019
0 notes
mft-toyama · 6 years
Photo
Tumblr media
via @create_clock
藝大卒展ですごかったのはこれ!八方向からのボールがぶつからずにすり抜けていく。ハードが出来上がってから4ヶ月ひたすら調整に努めたそう。タカハのソレノイドコンテストに応募したらダントツで優勝かな pic.twitter.com/xXx8to60hp
— その辺の人 (@create_clock) January 29, 2019
0 notes
mft-toyama · 6 years
Photo
Tumblr media
via @create_clock
MFT2018に展示予定の「100均人工筋肉アーム」の動画をあげますね。これも会場で触って遊べます。注射器を互い違いに繋げてた所がポイントです。 pic.twitter.com/zgNPUg0UHz
— その辺の人@MFT2018 A-08-11 (@create_clock) July 31, 2018
0 notes
hoory · 5 years
Photo
Tumblr media
RT @create_clock: 「育休復帰、即転勤」で炎上、カネカ元社員と妻を直撃 https://t.co/UoSDptr4n5 日経ビジネス仕事早い。カネカの広報の逃げのコメントはやばい。早期消火する気がなくて燃料ふりまいてる。日経の記事を元にTVも取り上げてネット外まで延焼しそう
0 notes
myxilinx · 6 years
Text
Tumblr media
your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
 ODDR #( .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE" .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1 .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC" ) ODDR_inst ( .Q (Clkif_ff), // 1-bit DDR output .C (ClkIN_BUFG), // 1-bit clock input .CE (1'b1), // 1-bit clock enable input .D1 (1'b1), // 1-bit data input (positive edge) .D2 (1'b0), // 1-bit data input (negative edge) .R (rst), // 1-bit reset .S (1'b0) // 1-bit set );
OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
 I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
 create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
 Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
 create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -divide_by 1  [get_pins cfg_if/ODDR_inst/Q]
 [edit: This used to read -combinational instead of -divide_by 1 - this was incorrect]
 With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
 set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
 https://forums.xilinx.com/t5/Timing-Analysis/How-to-set-input-delay-and-output-delay-when-source-Synchronous/td-p/549028
0 notes
myxilinx · 6 years
Text
The strange case of the bufgmux
Timing Analyzer Clock Multiplexer Examples
The Timing Analyzer makes it easy to use Synopsys Design Constraint (SDC) commands to constrain complex clock structures, such as multiplexed clocks. The following shows three example circuits and the appropriate SDC commands to constrain them.
Off chip mux
Create the two clocks on the port create_clock -name clk_100 -period 10 [get_ports clk] create_clock -name clk_125 -period 8 [get_ports clk] -add # Set the two clocks as exclusive clocks set_clock_groups -exclusive -group {clk_100} -group {clk_125
On chip mux
Create a clock on each port create_clock -name clk_100 -period 10 [get_ports clkA] create_clock -name clk_125 -period 8 [get_ports clkB] -add # Set the two clocks as exclusive clocks set_clock_groups -exclusive -group {clk_100} -group {clk_125
https://www.altera.com/support/support-resources/design-examples/design-software/timinganalyzer/exm-tq-clock-mux.html
0 notes